KR20070006805A - Euv광원 광소자 - Google Patents

Euv광원 광소자 Download PDF

Info

Publication number
KR20070006805A
KR20070006805A KR1020067020110A KR20067020110A KR20070006805A KR 20070006805 A KR20070006805 A KR 20070006805A KR 1020067020110 A KR1020067020110 A KR 1020067020110A KR 20067020110 A KR20067020110 A KR 20067020110A KR 20070006805 A KR20070006805 A KR 20070006805A
Authority
KR
South Korea
Prior art keywords
layer
spacer
absorber
double
compound
Prior art date
Application number
KR1020067020110A
Other languages
English (en)
Inventor
노베르트 에르. 보워링
알렉산더 아이. 어쇼브
티모시 에스. 다이어
휴 알. 그리놀드
Original Assignee
사이머 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/798,740 external-priority patent/US7217940B2/en
Priority claimed from US10/803,526 external-priority patent/US7087914B2/en
Priority claimed from US10/900,839 external-priority patent/US7164144B2/en
Priority claimed from US10/979,945 external-priority patent/US8075732B2/en
Application filed by 사이머 인코포레이티드 filed Critical 사이머 인코포레이티드
Publication of KR20070006805A publication Critical patent/KR20070006805A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/20Selection of substances for gas fillings; Means for obtaining or maintaining the desired pressure within the tube, e.g. by gettering

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • X-Ray Techniques (AREA)

Abstract

플라즈마 생성 EUV광원 반사 소자는 제 2 물질(34)로 도핑된 제 1 물질(32)을 포함하는 적어도 하나의 이중 층(30)과 단일 및 이중 캐핑 층(24)을 포함하는 캐핑층(22)을 구비한다.
Figure 112006070735686-PCT00001
MLM물질, 플라즈마 생성 EUV광원 챔버, 이중 캐핑층, EUV광원 광소자

Description

EUV광원 광소자{EUV LIGHT SOURCE OPTICAL ELEMENTS}
본 발명은, 원료로부터 생성되고 EUV광원 발생 챔버 외부에서 이용하기 위하여 초점에 집광되어 진행하는 극자외("EUV")광을 발생시키는 대략 20nm이하의 파장에서 반도체 집적회로 제조 리소그래피 공정을 수행하는 기계류용의 EUV광 발진기에 관한 것이다.
소프트 엑스선이라고도 불리는 대략 20nm이하의 파장, 대체로 13.5nm파장의 극자외("EUV")광에 대해, 원료로부터 생성된 플라즈마에서 발생된 EUV광을 집광하고 포커싱하는데 반사광 소자가 필요하다는 것은 주지된 사실이다. 상기 해당 파장에서, 한 쌍의 전극간 전기 방전에 의해 발생된 전기 방전 발생 플라즈마("DPP") 또는 플라즈마를 생성하기 위해 목표 물질을 조사하는 포커싱 레이저 빔에 의해 발생된 레이저 발생 플라즈마("LPP")인 플라즈마로부터 방출된 광의 집광과 포커싱을 위해 소위 보통 입사각 반사기로 불리는 다층 미러("MLM") 또는 스침 입사각이 필요하다.
EUV광의 방출을 위한 플라즈마 생성 프로세스에서는, 열, 고에너지 이온 및 플라즈마 생성 프로세스에서 이온화되지 않은 원료의 원자 또는 원료 덩어리등 플라즈마의 형성에서 분산된 찌꺼기와 같은 콜렉터/디렉터 광소자를 포함하는 재료에 잠재적으로 매우 손상을 가할 수 있는 몇몇 불쾌한 결과가 플라즈마주위의 EUV광원 생성 챔버내의 환경에 나타나게 된다. 열, 고에너지 이온 및/또는 원료는, 몇 가지 방식으로 광소자에 손상을 가할 수 있는데, 이러한 방식은 단순히 열을 가하는 것은 물론, 광소자로의 침투, 구조적 무결성 및/또는 광특성, 예컨대 이렇게 짧은 파장의 광을 반사시키는 MLM동작 기술의 손상, 광소자의 부식, 침식 및/또는 확산을 포함한다. 원료는 리튬과 실리콘과 같은 MLM의 적어도 하나의 층을 이루는 물질과 특히 반응성이 있을 수 있고, 따라서 특히 고온에서 반응성의 잠재적 효과를 줄이고, 그리고/또는 캐핑 층이나 중간 확산 장벽층 또는 둘 모두에 의하여 물질을 계속 분리되게 하기 위한 조치가 취해져야한다. 온도 안정성, 이온 주입 그리고 확산의 문제는 주석, 인듐 또는 제논과 같은 저 반응성 원료에도 해결될 필요가 있을 수 있다.
또한, EUV광원 챔버용 찌꺼기 관리의 특성상 MLM스택과 그 보호 오버코팅 (캐핑) 층이 동작하여 그 아래의 이중 다층 스택을 보호할 필요가 있는 환경의 불쾌감을 증가시킬 수 있다. 이것은 동시에 전체 반사도를 크게 손상시키지 않고 수행되어야 한다. 채용되는 기술은 상기 참조된 계류중인 특허 출원에 더 설명되어 있는바와 같이, 반사기 표면에서 찌꺼기를 소산시키기 위하여 500℃이상의 고온로 반사기를 가열하거나 그리고/또는 반사기 표면으로부터 찌꺼기를 에칭하기 위하여 할로겐 에천트등의 에천트를 이용하거나 그리고/또는 반사기 표면 근방에 실딩 플라즈마를 생성하는 것일 수 있다.
출원인은 광소자의 반사도를 입사 EUV광에 최적화하고 불쾌한 환경에 있는 광소자의 수명을 최적화하는데 사용되는 다양한 MLM배치와 물질을 제안하는데, 예로써 컬렉터/디렉터 및 광원 챔버내의 기타 광소자에 근접하여 있는 플라즈마 생성 EUV광원의 동작의 초당 1만6천개 내지 4만8천개의 플라즈마의 형성이 일어날 수 있는데, 광소자를 대체하기 위한 광원 챔버의 씰을 파괴함으로 야기되는 곤란함과 이러한 광소자의 대체 비용때문에 한번에 1년 이상이 아니라면 이러한 광소자는 수개월동안 유지되어야한다.
일부는 다른 물질과의 리튬 호환성 및 리튬 확산을 설명했지만, MLM의 관점에서가 아니고 특히 반응성 플라즈마 원료를 갖는 EUV광원을 위한 적당한 컬렉터/디렉터를 제공하는 관점에서의 설명이 아니고 상세하게는 리튬 플라즈마 원료의 관점에서의 설명은 아니다. M. Eckhardt등의 "Influence of doping on the bulk diffusion of Li into Si(100)", Surf. Sci. 319, 219-223(1994)에는 Li의 Si결정으로의 다량 확산에 대한 도핑의 영향을 설명하고 있다. 여기에는 n타입으로 도핑된 Si(100)의 표면에 대하여 1000K(=730℃)이하의 온도에서는 다량의 리튬 확산이 없다고 하고 있다. 출원인들은 플라즈마용의 원료가 리튬과 같은 반응성 소자인 적당한 EUV광 반사 소자의 제공에 이러한 원리를 응용하는 것을 제안한다.
고온의 리튬으로부터 제 1 반응기 벽을 코팅으로 보호하기 위해 퓨전 커뮤니티에 의해 이트리아가 사용되었다. 또한 7-12nm 파장 범위에서 반사를 위해 리버모어 연구소 국영 연구소("LLNL")에 의해 Mo/Y다층에 대한 작업이 수행되었다. 그러나 출원인들은 리튬등의 반응성 원료로부터 광학기기의 보호를 위해 플라즈마 생성 EUV광원내 컬렉터/디렉터 또는 기타 광학기기를 위한 이트륨의 사용을 알고 있 지 않다.
또한, Mitsuyama등의, "Compatibility of insulating ceramic materials with liquid breeders", Fusion Eng. Des. 39-40, 811(1998), Pint등의, "High temperature compatibility issues for fusion reactor structural materials", Fusion Sci. Technol. 44, 433-440(2003); Sarafat등의, "Coolant structural materials compatibility", Report, Apex meeting, 03-24-2000; Kloidt등의, Appl. Phys. Lett. 58(23), 2601-2603(1991)를 참조한다.
나머지 일부는 MLM물질과 특성을 설명하고 있는데, 플라즈마 생성 EUV광원의 관점이 아니고 반응성 원료의 관점도 아니고, 특히 플라즈마 원료로서 리튬의 사용의 관점의 설명은 아니다. 일부 특허와 논문에서 MLM물질과 캐핑 층에 대하여 설명하고 있지만, 플라즈마 생성 EUV컬렉터/디렉터 그리고 기타 EUV소스 챔버 광학기기에 대한 필요조건, 예컨대 비교적 고온에서의 온도 안정성 요건 등의 관점에서가 아니고, 반응성 EUV 플라즈마 물질 특히 리튬의 관점에서도 아니다. 장벽층 없는 Mo/Y MLM은 LLNL그룹의 Bajt등에 의해, 예컨대, Bajt등의 "Mo:Y multilayer mirror technology utilized to image the near-field output of a Ni-like sn laser at 11.9nm", Optics Letters, Vol. 28, No. 22(2003-9-15 p. 2249) 및 Kjornrattanawanich의, "Reflectance, optical properties and stability of molybdenum/strontium and molybdenum/yttrium multilayer mirrors, Ph. D. Dissertation(University of California Davis, Report UCRL-LR-150541(2002)에서, 250℃에서 열적으로 안정한 것으로 나타나있다. 이트륨층이 극미량의 산소만 있거 나 산소가 없다면, 상기 Kjornrattanawanich의 논문에서 지적한 바와 같이 Mo/Y다층은 250℃이상의 온도에서도 안정할 수 있고, 여기서 Mo/Y미러의 가열(어닐링)후에 단면 전송 전자 현미경 사진에서 Mo/Y다층을 위한 보다 높은 대비가 관찰된다. 2004년 4월 20일 특허된 Singh등의 미국 특허 제 6,724,462호, "CAPPING LAYER FOR EUV OPTICAL ELEMENTS"에는, 보다 많은 보통 입사각을 위한 다층 미러와 스침각 또는 입사 반사층간의 선택, 플라즈마로의 반사기 표면의 모양과 근접성, 플라즈마 원료, 찌꺼기 증발을 위한 온도 상승, 할로겐 찌꺼기 에칭, 찌꺼기 확산 등 취해진 찌꺼기 경감 단계 등, 반사기를 위한 적당한 물질을 선택하는데 고려되어야 하는 플라즈마 생성 EUV광원내의 환경의 혹독함에 놓여있지 않는 리소그래피 툴 환경에 대한 EUV반사기를 설명하고 있다. 오히려 상기 '462특허 등에 의해 선택된 물질은 포토레지스트 노출을 위한 EUV광을 이용하는 리소그래피 툴의 비교적 무균질의 신선한 환경에서 반사도를 최대화하는 것에 거의 배타적으로 기초하고 있는데, 이러한 환경에서, 예컨대 캐핑층은 공기로의 노출과 같은 주위 환경에 "비교적 불활성"이 되도록 선택된다. 마찬가지의 효과가 Bijkerk등의 미국 특허 제 6,656,575호, 2003-12-2특허, "MULTILAYER SYSTEM WITH PROTECTING LAYER SYSTEM AND PRODUCTION METHOD"에 있고, 이는 또한 EUV반사기에 대한 리소그래피 툴 환경에도 관련된다. Singh의 미국 특허 제 6,449,086호, 2002-9-10특허, "MULTILAYER EXTREME ULTRAVIOLET MIRRORS WITH ENHANCED REFLECTIVITY"는 중간층 물질 및 "비교적 불활성 물질"의 캐핑층에 관한 마찬가지의 효과를 갖는다. Bajt등의 미국 특허 제 6,228,512호, 2001-5-8특허, "MORU/BE MULTILAYERS FOR EXTREME ULTRAVIOLET APPLICATIONS"는 MoRu/Be MLM의 이중층 및 거칠기 감소 및 혼합 중간층 그리고 수증기에 잠재적으로 노출된 시스템용 산화물 캐핑층에 관한 것이다. Bajt등의 미국 특허 제 6,780,496호, 2004-8-24특허, "OPTIMIZED CAPPING LAYERS FOR EUV MULTILAYERS"는 Ru를 갖는 이중층의 캐핑층과 아래에 있는 이중층으로의 Ru확산을 방지하기 위한 언더코팅 그리고 리소그래피 툴 환경에서 산화에 대한 저항을 위해 선택된 Ru를 포함한다.
Takenaka등의, "Heat resistance of Mo/Si, MoSi2/Si and Mo5Si3/Si multilayer soft x-ray mirrors", J. Apple. Phys. 78, 5227 (1995)에는 출원인에 의해 제안된 Si-MoSi2-Mo5Si3-MoSi2결합이 아니라 Mo5Si3/Si결합을 설명하고 있다.
특히, 리튬 플라즈마 원료와 같은, 하나 이상의 MLM물질과 플라즈마 원료가 반응하는 경우에, 플라즈마 생성 EUV광원 챔버내에 이용하기 위한 단일 및 이중 캐핑층을 포함하는 캐핑층과 다양한 이중층 물질을 채용한 MLM스택을 포함하는, 예컨대 반사기등의 DPP 또는 LPP플라즈마 생성 EUV광원 광학 엘리먼트를 형성하기 위한 장치와 방법이 개시된다.
도 1은 다층 미러("MLM")의 비례 않는(not to scale) 개요도;
도 2는, 예컨대 도 1의 MLM을 개선한 본 발명의 일 실시예의 일 태양에 따른 MLM구조 및 조성의 비례 않는 개요도;
도 3은 다층 미러의 비례 않는 개요도;
도 4는, 예컨대 도 3의 MLM을 개선한 본 발명의 일 실시예의 일 태양에 따른 MLM구조 및 조성의 비례 않는 개요도;
도 5는 EUV다층 스택 컬렉터/디렉터 미러용, 보호 코팅으로서 (이트륨 산화물, Y2O3의 형태의) 이트륨등의 리튬과 호환가능한 코팅을 사용한 본 발명의 일 실시예의 일 태양의 비례 않는 개요도;
도 6은 본 발명의 일 실시예의 일 태양에 따른 MLM구조와 조성의 비례 않는 개요도;
도 7 내지 9는 본 발명의 일 실시예의 일 태양에 따른 MLM구조와 조성의 비례 않는 개요도;
도 10은 본 발명의 일 실시예의 일 태양에 따른 MLM구조와 조성의 비례 않는 개요도;
도 11은 본 발명의 일 실시예의 일 태양에 따른 MLM구조와 조성의 비례 않는 개요도;
도 12는 본 발명의 일 실시예의 일 태양에 따른 MLM구조와 조성의 비례 않는 개요도; 및
도 13은 층수에 대한 Y/Mo/Si/Mo MLM의 각각을 도시한 도면.
도 1을 참조하면, 루테늄 캐핑층(22)과 그 아래의 중간층(24)을 포함하는 종래의 MLM(20)의 구조 및 조성의 비례 않는 개요도가 도시되어 있는데, 상기 중간 층(24)은 원료 확산 배리어층(24)일 수 있고, 이는 리튬과 같은 EUV플라즈마용 원료등의 선택된 물질 또는 그 화합물의 확산에 대한 저항을 위해 선택될 수 있다. MLM(20)은 몰리브덴층(32)등의 흡수재층과 실리콘의 공간층(34)으로 구성된 복수의 이중층(30)을 포함할 수 있다.
확산 배리어층(24)을 통해 배리어층(30)으로의 원료 확산 및 층(32 및 33)간에 리튬 실리사이드등의 원료 실리사이드의 형성은 Mo/Si인터페이스에서 Mo 및/또는 Si의 거칠기를 일으킬 수 있다. 이것은 고온에서 이중층(30)으로부터 열적 안정성을 손상시킬 수 있고, 대체로 MLM과 개별 이중층(30)의 반사도에도 영향을 미칠 수 있다.
도 2는 도 1과 같은 비례않는 MLM(20')의 개요도이고, 실리콘의 스페이서층(34)은 스페이서층(34')로 대체되어 있고, 이는 인 등, n타입 도펀트등인 리튬과 반응할 물질로 도핑된 스페이서층의 물질, 예컨대 실리콘을 포함할 수 있다. 이러한 실리사이드층(34')은 이후 도핑된 실리콘층(34')을 통해 리튬등의 반응 원료의 확산을 차단하는 기능을 할 수 있고 따라서 Mo와 Si간의 인터페이스에서 실리사이드층의 형성을 방지하고, 따라서 전체적으로 각각의 이중층(30)과 MLM(20')의 효과를 훨씬 덜 파괴한다.
다층 미러(20')내의 실리콘층(34')을 통한 리튬과 같은 반응 원료의 확산을 차단하거나 적어도 상당히 줄이는 도핑층(34')의 효과를 적용함으로써, 리튬은 n도핑 Si층(34')으로 깊이 침투하지 못하거나 Si/Mo경계에서 실리사이드를 형성하지 않을 것이다.
다층 미러(20')의 이중층(30)의 Si층(34')은, 대략 13.5nm의 EUV광을 반사시키기 위하여, 예컨대 n타입 도펀트와 같은 도펀트 물질의 도입하여 리튬의 용해도를 줄인다. 이것은 리튬의 확산에 의한 실리콘층(34')의 침투를 제거(또는 적어도 상당히 줄인다)하거나 Mo/Si인터페이스에서 실리사이드의 형성을 방지 또는 상당히 줄인다. Mo/Si인터페이스와 같은 이중층(30)의 물질의 인터페이스에서 혼합과 반응영역을 줄임으로써 반사도를 높이고 열적 안정성을 향상시키는 훨씬 정교하게 형성된 다층 경계를 보존할 수 있다.
본 발명의 일 실시예의 태양에 따라, 이는 기타 주지 형태의 Mo/Si다층 및 제안된 고온 안정 다층 미러 후보군(MoSi2/Si, Mo2C/Si 또는 얇은 확산/혼합 배리어층과 같은 기타 인터페이스층을 갖는 Mo/Si다층 등)에도 적용될 수 있다. 여기서 또한, 리튬등의 반응 원료가 Si층과 같은 스페이서층등의 물질과 접촉하게 되면, 실리사이드의 형성과 혼합이 일어날 수 있다. 따라서, 이는 다층 경계에서 콘트라스트를 줄일 수 있고 MLM반사도와 열적 안정성을 감소시킬 수 있다. n타입 도펀트를 갖는 스페이서 층 물질의 도핑은 단순한 Mo/Si에 더하여 MLM이중층의 이러한 기타 형태에 마찬가지의 유효 효과를 가질 수 있다.
Si스페이서층(34)과 몰리브덴 흡수재층(32)을 포함하는 아래 이중층(30)을 캐핑하는 루테늄 캐핑등의 다층 미러 보호 캐핑층(22)에 의해서도, 리튬등의 반응원료는 캐핑 금속층(22)의 구멍과 그레인 경계를 통해 심지어 몰리브덴층(32)과 같은 아래 흡수재층(32)을 통해서도 여전히 아래의 실리콘층(34')에 침투할 수 있다. 이후 리튬은 Si층(34')의 다량으로 확산할 수 있다. Li의 Si로의 확산율은 Si내의 리튬의 용해도에 좌우되는데 이것은 리튬 농도가 용해도를 초과할 수 없기 때문이다. 용해도는 고온에서 p타입으로 도핑된 Si에서 보다 높다. 따라서, 고온에서 동작하는 MLM에서는 특히, 용해도를 줄이고 따라서 실리콘으로의 리튬의 다량 확산을 줄이므로, n타입으로 도핑된(예컨대, 인이 도핑된) Si를 사용하는 것이 유리하다.
MLM코팅의 Si스페이서층(34')은 n타입으로 도핑되어 있다(저항~10-30Ωcm). 이는 코팅이 마그네트론 스퍼터링과 같은 스퍼터링 기술로 생산된다면, 예컨대 적당한 n타입 도핑된 스퍼터 목표물을 사용함으로써 수행될 수 있다. 보다 높은 온도에서도 Si스페이스층(34')으로의 리튬의 확산은 감소된다.
도 3을 참조하면, 실리콘, 실리콘 카바이드 또는 몰리브덴을 포함할 수 있는 적당한 기판(42)상에 형성된 복수의 이중층(50)을 포함할 수 있는 MLM(40)의 비례 않은 개요도가 도시되어 있다. 복수의 이중층(50)의 각각은 몰레브덴등으로 이루어진 흡수재층(54)과 실리콘등으로 이루어진 스페이서층(52)을 포함할 수 있다. 복수의 이중층(50)은 카바이드나 보라이드 또는 니트라이드, 또는 이트륨이나 지르코늄 또는 그 화합물이나 합금으로 된 원료 확산 배리어층(64)이 깔린 루테늄의 정상 캐핑층(62)으로 된 이중 캐핑층(60)에 의해 캐핑될 수 있다. 이러한 이중 캐핑층(60)은 또한 리튬등의 반응원료 자체를 포함하는 코팅(66)으로 덮힐 수 있다.
이러한 리튬 코팅층(66)은 리튬 등일 수 있는 원료로 된 목표물을 레이저빔으로 조사함으로써 LPP가 플라즈마를 일으킴으로써 MLM(40)을 사용하여 형성된 컬 렉터/디렉터에 근접하여 리튬 플라즈마를 형성한 직접적 결과일 수 있다. LPP EUV광원등, 그러나 DPP EUV광원이기도한 EUV광원의 컬렉터/디렉터 미러(40)는 마찬가지로, 소스로부터 생긴 몇몇 리튬 원자층을 포함하는 층(66)으로 덮힐 것이다. 리튬 코팅(66)이 단 몇 나노미터의 두께라면, 미러(40)의 반사도는 출원인의 조사에 따르면 크게 줄어들지는 않을 것이다. 리튬등의 반응 원료에 의한 공격과 루테늄등의 산화에 저항이 있는 Ru캐핑층(60)으로 코팅을 마무리하는 것이 부식 및/또는 산화의 해결책일 수 있다.
그러나, 도 4에 도시된 바와 같이, 출원인이 제안하는 것은 비교적 투명한 스페이서층(70)을 Ru캐핑층(60)등의 캐핑 산화 및 부식 저항층 정상부에 두는 것이고, 이로써 다층 미러(40')의 이층 스페이싱을 보존할 수 있고 따라서 최고 반사도의 감소를 보다 적게할 수 있다. 확산이 차단될 물질의 화합물등을 포함할 수 있는 이러한 층(70) 또한 리튬 노출에 저항이 있을 필요가 있다. 이하 보다 상세히 설명되는 바와 같이, 비교적 투명한 스페이서층(70)을 Ru층(62)상에 둠으로써 MLM(40')의 반사도에 기여함에 있어 아래의 이중 MLM스택 이중층(50)처럼 기능하는 이중 캐핑층(72)을 형성할 수 있다.
컬렉터/디렉터 미러는 대략 250℃내지 500℃의 고온으로 안정된 다층 스택으로 형성될 수 있는데, 이러한 다층 스택은 Mo 및/또는 그 화합물 및 Si 및/또는 그 화합물, 예컨대, MoSi2/Si 나 Mo2C/Si등 그리고 Mo/X/Si/X(여기서 X는 중간 확산 배리어층으로 사용될 수 있는 화합물 박막을 나타낸다)를 포함하는 이중층을 포함할 수 있다. 그러나, 이 모든 가능한 스택은 리튬에 의한 공격과 리튬과의 화학반응의 경향이 있는 실리콘이나 실리콘 화합물층등 리튬과 같은 반응 원료와 반응하는 원소를 포함할 수 있다. Mo 및/또는 Mo화합물층은 아래층에 리튬의 확산을 완전히 저지할 수는 없다. C, B4C, BN, SiC, ZrB2, NbB2, ZrN, NbN, Si3N4 등과 같은 보라이드나 카바이드 또는 니트라이드로 구성되는 초박형 확산 배리어의 정상에 우선적으로 적층된, 루테늄 캐핑층은 리튬의 공격으로부터 아래층을 보호할 수 있다. 리튬등 반응원료를 포함하는 증착 찌꺼기를 증발시키기 위하여 MLM컬렉터/디렉터 미러가 가열되더라도, 리튬 증착물의 몇몇 원자층이 DPP/LPP소스로부터 리튬 플럭스의 노출때문에 표면에 형성될 수 있다. 리튬의 단층(단일 원자층)의 층두께는 대략 0.3nm일 수 있다. 몇몇 리튬 단층은 13.5nm EUV광을 그다지 흡수하지 않을 것이고, 리튬의 20nm의 두께의 단일 통과 투과율은 대략 80%이다. 그럼에도 불구하고 리튬층은 코팅의 반사도를 줄일 것이다. 이러한 이유 중 하나는 리튬 흡수재층이 다층 스택상에 "잘못된 위치"에 증착되기 때문이다. 또한, Ru층은 다층 스택의 반사 특성의 열화를 일으키는 화학 반응을 유도하는 아래 Si층까지 리튬이 이를 수 있는 구멍을 가질 수 있다.
도 4에 도시된 바와 같이, 대략 13.5nm 및 고온 안정성에 대하여 최적화된 복수의 이중층(50)을 갖는 다층 미러(40')는 리튬등의 반응 원료에 의한 반응과 부식, 확산으로부터 보호되어야 한다. 이는 도 3에 도시된 바와 같이 Ru로 된 적어도 하나의 보호 캐핑층(60)에 의해 수행될 수 있다. ~13.5nm에 대해 최적화된 MLM(40')등의 다층 미러는 일반적으로 실리콘 스페이서층(52)을 요한다. 그러나, 미러(40')가 리튬등 반응 원료에 노출되면, 실리콘층(52)은 리튬에 의한 공격으로부터 보호되어야 한다. 이는, 캐핑층(62)내의 Ru가 리튬에 의해 공격받지 않기 때문에, 얇은 확산 배리어층(64)에 의하여 마지막 Si층(52)으로부터 분리될 수 있는 루테늄 캐핑층(60)에 의해 수행될 수 있다. 또한, 리튬은 Ru층으로 그리고/또는 배리어층(64)을 통해 아래의 이중 MLM층(50)으로 확산되지 않을 것이다. 그러나, Ru캐핑층(62)은 MLM(40)의 반사도를 크게 줄이지 않기 위해 몇 나노미터 두께만일 수 있다. 1nm두께 이하인 보라이드 또는 카바이드로 구성되는 매우 얇은 확산 배리어층(64)이 Si와 Ru캐핑층간에 잘 형성된 층 경계를 형성하는데 도움될 수 있다.
그러나, MLM(40)의 전체 반사도를 크게 줄이지 않기 위해, 도 4에 도시된 바와 같이, 보호 캐핑층(62)이 자체로 이중층(72)이면, 도 4에 도시된 개선된 MLM(40')에 관하여 나타난 바와 같이 더 개선될 수 있다. 본 발명의 일 실시예의 태양에 따른 이러한 구조는 MLM(40')의 이중 흡수재/스페이서층(50)과 같이 기능할 수 있다. 이러한 캐핑 이중층(72)은 도 3에 도시된 Ru캐핑층(62)과 리튬 니오베이트(LiNbO3)층(70)등의 반응 원료의 화합물을 포함할 수 있는 적당한 스페이서층(70)으로 구성될 수 있다.
선택된 이중 캐핑 이중층(72)의 스페이서층(70)은 캐핑 이중층(72)의 스페이서층(70)으로 기능하고 또한 캐핑 이중층(72)의 스페이서층(70)을 통해 아래층(62)으로 그리고 리튬등 반응 원료가 실리콘등 반응으로부터 방지되기를 원하는 물질을 함유하는 그 아래 이중층(50)으로 더 리튬등의 반응원료의 침투를 차단시키기 위해, 13.5의 선택된 EUV파장에 상당히 높은 투명도를 갖도록 선택될 수 있다. 리튬 니오베이트등의 반응 원료의 화합물 또한 아래층과 반응하거나 하지 않는 원료로 된 몇몇 부가층을 가능하게 한다. 리튬을 포함하는 캐핑 이중층(72) 흡수재층(70)내에 간섭을 위해 LiNbO3층(70)의 정상에 리튬뿐 아니라 주석, 이리듐 또는 제논과 반응성이 있는 원료의 형성이 정확한 위치에서 일어날 것이다. MLM(40')의 전체 반사도는 크게 영향받지 않을 것이다. 즉, 이중 캐핑층(72)을 형성하는, 흡수재 Ru층상에 형성된 스페이서층(70)은 반사의 목적으로 그 아래의 이중층(50)과 마찬가지로 기능하는데, 이는 반응성이거나 아닌 플라즈마 원료의 (원하는 EUV파장에) 비교적 투명한 화합물을 포함하기 때문이고, (원료 화합물(예컨대, LiNbO3)의 성능을 근본적으로 변화시키지 않고 훨씬 많은 적층 단층을 수용하지만, 주석, 이리듐 또는 제논의 화합물 등, 그밖에 보다 적을 수 있는 반응 원료화합물 또한 포함하는 원료 화합물로 확산될 수 있는)원료의 몇몇 원자 단층을 포함하는 또 다른 원료의 부가적 적층을 수용할 수 있다.
리튬은 LiNbO3층(74)으로 확산될 수 있지만, 평형에 도달한다. 즉, 캐핑 이중층(72)의 정상층(70)은 기본적으로 Mo/Si단층(50)과 같지만, 캐핑 이중층(72)은 보다 바람직한 화학성질을 갖고 리튬의 공격으로부터 Mo/Si 이중층(50)으로 된 아래의 다층 미러 이중층(50)을 보호할 수 있는 Ru 및 LiNbO3로 이루어진다. 대략 13.5nm에서 Ru/LiNbO3캐핑 이중층(72)의 반사 및 투과 특성은 Mo/Si 이중층(50)만큼 좋지 않지만, 리튬에 대한 저항성이 보다 크고 이러한 결점을 상충한다. 도 4에 도시된 바와 같이, 캐핑 Ru층(70) 아래의 확산 배리어층(64)을 더 제공함으로써, 아래의 실리콘층(52)으로의 리튬의 확산은 더 감소될 수 있고 리튬의 공격으로부터 보호가 증가될 수 있고, 한편 동시에 도 3에 도시된 바와 같은 Ru캐핑층(62)에 의하는 것보다 MLM(40')에 대하여 더 나은 전체 반사도를 얻을 수 있다.
전체 다층 스택 반사 기능의 개선에 대한 상기에 따라, 스페이서층(70)은 MLM(40')의 리튬에의 노출로부터 적층 리튬을 얻을 수 있는 루테늄층(62)위에 위치될 수 있고, 층(62, 70)은 캐핑 이중층(72)을 포함한다. 이러한 스페이서층(70)은 리튬과 호환가능하도록 선택된다. 리튬 니오베이트는, 부분적으로 유용한 특성이 많기 때문에 제안된다. 원료가 리튬일때 사용하기 위한 리튬 니오베이트등의 선택된 물질은 매우 안정적이고 반응성이 없으므로 리튬 등 원료를 이미 포함하기 때문에 리튬 노출/확산과 같이 원료에 안전하게 놓여있을 수 있고, 화학적 안정성 때문에 공기내에 문제없이 처리될 수 있는 몇 안되는 리튬 화합물 중 하나이고, 적어도 대략 1000℃까지 놀라운 온도 안정성을 갖고, 주로 전자광학물질(피에조 전기, 강유전성, 비선형 결정)이고, LiNbO3의 정상 캐핑층(70)과 함께 다층 코팅에 의해 MLM을 형성하기 위해 기판으로서 플랫 웨이퍼나 곡면이 사용될 수 있다. 리튬 니오베이트와 같은 물질의 박막은 다양한 프로세스(CVD, 레이저 증착, 스퍼터링 기술)를 사용한 에픽택셜 성장에 의해서는 물론 폴리크리스탈라인과 비정질로 생성될 수 있 다. 선택될 수 있는 기타 가능한 물질과 마찬가지로, 리튬 니오베이트는 대상 EUV파장에서 매우 투명한데, 예컨대 리튬 니오베이트는 13.5nm에서 실리콘에 거의 투명하다. 리튬은 LiNbO3으로 확산할 것이지만, 평형에 도달할 것이다. 또 다른 매우 얇은(<1nm) 중간확산 배리어층(76)이, 아래 실리콘층으로의 리튬의 확산을 더 줄이기 위해 Ru층(64)과 LiNbO3층(70)을 포함하는 캐핑 이중층(72)의 층(62, 70)간에 도입될 수도 있다. 이러한 층에 대하여 여기에 설명된 물질을 포함하는 배리어층(76)은 리튬의 공격으로부터의 보호를 개선시킬 수 있다. 대략 2.5nm의 층두께의 Ru층의 와 대략 4.4nm의 LiNbO3층의 두께로, 13.5nm광에 대한 "정확한" 이중 두께 율을 얻을 수 있다. 미러(40')를 사용하는 동안, 거울 표면상의 리튬 생성은 현재 "우측"위치에 있을 것이다. 즉, 흡수재층(62)상에 스페이서층(70)이 있기 때문에 아래의 층 경계로부터 정확한 간격으로 있을 것이다.
두 가지 일반적 방법에 의해 반사도가 높은 표면을 얻을 수 있는데, 가장 직접적인 방법은 (예컨대, 알루미늄, 은 또는 금)과 같이 모놀리식 형태의 본연적으로 반사성을 갖는 물질을 이용하는 것이다. 두 번째 방법은 번갈아 변하는 두께와 반사율의 다중 박층 스택을 형성하는 것이다. 후자의 시스템 타입을 일반적으로 유전체 미러라 부르는데, 물질 본연의 높은 반사성을 통해서가 아닌 각 층의 두께와 굴절율n에 좌우되는 광학적 간섭 프로세스를 통해 높은 반사성이 얻어지기 때문이다. 정확하게 형성된 박막 스택은, 포함된 물질이 원래 투명하더라도, EUV에 대해서는 거의 아니지만, 가시광선에 대해서는 >99%의 반사도를 나타낼 수 있다.
출원인은 각각이 서로 다른 밀도를 갖는 SiC의 교대층과 같은, 교대로 변하는 밀도의 실리콘 화합물층을 사용하여 13.5nm에서 >70%의 반사도를 갖는 유전체 다층 미러를 사용하기를 제안한다. SiC는 고온(2700℃)에서 다룰 수 있고 원한다면, 전도성이나 확산 저지등의 기타 특성을 개선시키기 위해 도핑될 수 있다. SiC/SiC스택은 동일한 조성이기 때문에, 층의 열 중간 확산은 700℃ 및 그 이상에서의 응용에 대해서는 문제가 되지 않을 것이다. SiC는 도핑에 의해 전기 전도성을 가질 수 있기 때문에, 이러한 스택된 미러의 DC 또는 RF 바이어싱 또한 가능하다.
도 5를 참조하면, 본 발명의 일 실시예의 태양에 따른 비례 않은 개요도가 도시되고, 출원인은 EUV다층 스택 컬렉터/디렉터 미러 또는 기타 EUV반사 광학기기에 대한 반사 코팅으로서 (이트륨 옥사이드, Y2O3, 의 형태로) 이트륨등의 리튬과 호환가능한 코팅을 사용할 것을 제안한다. 상기한 바와 같이 Mo, MoSi2 및 Si층을 포함하는 이중층의 다층에 기초한 고온 다층 미러(80)는 리튬에 의한 공격에 대하여 안정적일 수 없다. 본 발명의 일 실시예의 상기한 태양과 마찬가지로, 본 발명의 일 실시예의 또 다른 태양에 따라서, 이트륨과 몰리브덴은 상기한 루테늄과 리튬 니오베이트의 캐핑 이중층보다 훨씬 적합할 수 있다.
상기한 바와 같이, 고온 다층 미러(MLM)는 리튬과의 반응 및 보호층은 양호한 EUV특성을 가져야 하기 때문에 보호층을 보호하기 위해 리튬과 호한가능한 보호층을 필요로한다. 이러한 물질이 EUV방사에 매우 투명하여야함과 동시에, 최상부 층은 리튬등의 원료 및 레이저 플라즈마원으로부터 생성된 리튬 이온에 의해 스퍼터링에 관해 양호한 안정도를 가지고 있어야 한다. 이트륨, 지르코늄, 몰리브덴, 그리고 기타 전이 금속은 리튬에 대해 비교적 낮은 스퍼터 산출율을 갖고 리튬과의 반응에 불활성적이다. 또한, 13.5nm의 EUV 방사에 상당히 투명하다. Mo/Y같은 다층이든, Mo/Z/Y/Z같은 배리어층이든 리튬 보호층등의 반응 원료에 대한 보호층으로 사용할 수 있다. 마찬가지로, ZrC, YN, ZrN, BN, Si3N4, B4C등 이러한 전이 금속의 질화물이나 탄화물 또는 MoSi2등 전이 금속의 규화물 층 또는 Y, Zr, Nb, Mo, Ru, Rh 또는 Pd등 전이 금속의 단일층이 사용될 수 있다.
도 5를 참조하면, 본 발명의 일 실시예의 태양에 따른, 비례 않은 MLM(80)구조 및 배치의 개요도가 도시되어 있다. 이트륨은 MLM(80)의 정상부나 스페이서층(92)으로서 이중 캐핑층(90)에 사용될 수 있다. MLM(80)은 또한, 모두가 기판(88)상에 다층 스택을 형성하는, 실리콘으로된 스페이서층(86)과 몰리브덴으로된 흡수재층(84)을 포함하는, 복수의 이중층(82)을 포함할 수도 있다. 이트륨 스페이서층(92)과 몰리브덴 흡수재층(94)을 갖는 이중 캐핑층(90)은 도 4에 대해 상기한 실시예와 마찬가지로 부작용이 관리될 수 있는 MLM(80)상에 적층된 리튬등의 반응 원료에 역작용에 대항하는 기능을 할 수 있다.
이트륨층(92)은 리튬과 반응하지 않기 때문에 이러한 정상층(92)의 표면이 Y2O3층(95)을 형성하기 위해 산화한다면, 이트륨 옥사이트층(95)은 상기한 리튬 니오베이트와 마찬가지로, 증착되는 리튬에 대하여 안정적이다. 마찬가지로, 상기한 것과 같은 기타 전이 금속, 예컨대, Zr 또는 Mo, 그리고 그 산화물, 예컨대 ZrO2 및 MoO3의 정상층 둘 다 리튬 증착을 흡수하는 기능을 할 수 있고, 이트륨, 지르코늄 또는 몰리브덴은 리튬의 공격으로부터 아래의 실리콘층을 보호할 수 있다. 예컨대, 이트륨과 몰리브덴층(92, 94)이 카바이드, 니트라이드 또는 브로마이드와 같은 얇은 리튬 확산 배리어층(96)에 의해 분리되면, 리튬의 확산은 훨씬 더 줄어들 수 있고 층(92, 94)은 400℃-500℃+의 고온에서 훨씬 더 안정적일 것이다. 그러나, 출원인은 이러한 응용에서 Mo와는 고온에서 조차 혼합 배리어층(96)에 대한 필요없이 섞이지 않지만, 리튬 확산 배리어층(96)은 여전히 유용할 수 있다고 믿는다. 이는 스택내 Mo와 Y층사이의 중간 혼합 방지 박막 없이 사용을 용이하게할 수 있다. Mo/Y 이중층 캐핑층(90)은 13.5nm방사에 대하여 꽤 높은 반사도를 가질 것이다. 그러나, Si기반 미러 정도로 잘 13.5nm방사를 반사시키지는 않을 것이다. 그러나, 도 5에 도시된 바와 같이, 출원인은 큰 반사도 손실 없이 (단일 이중 캐핑층(90)을 예로 설명한) 도 5에 도시된 그리고 상기한 바와 같은 Mo 및 Y의 하나 이상의 몇몇 이중 캐핑 층(90)으로 Si기반 고온 다층 미러를 코팅할 것을 제안한다. 또 다른 가능성은 Mo 및 Y층상에만 기초한 무Si다층 또는 Mo 및 Y층 및 MLM(80)을 통해 ZrC, YN, ZrN 또는 기타 니트라이드나 보라이드와 같은 리튬 확산에 대항하는 적당한 배리어층을 사용하고, 정상 캐핑층으로 그리고 아마 Y2O3의 코팅(95)으로서도 Y층을 사용하는 것이다.
상기한 것처럼, (예컨대, MoSi2/Si 또는 Mo/C/Si/C 또는 몇몇 기타 다층 스 택을 갖는) 고온 다층 미러는 몰리브덴, 이트륨 및, 혼합 배리어층, 리튬 확산 배리어층 또는 이 모두 중 어느하나로서 기능할 수 있는 얇은 확산 배리어층을 포함하는 리튬 보호 캐핑 이중층으로 코팅될 수 있다. 이트륨이나 몰리브덴은 최상부층일 수 있다. MLM(80)의 구조는 Mo와 Y사이의 얇은 배리어층을 가진 (또는 가지지 않는) 하나 또는 몇개의 주기 Mo-Y이중층을 포함할 수 있다. 얇은 이트륨 옥사이드(Y2O3)층(또는 Mo-옥사이드층)은 미러가 공기에 노출될때 최상부층상에 코팅 프로세스후에 자동적으로 형성할 수 있다. 어느 경우이든, 이트륨, 몰리브덴 그리고 이트륨 옥사이드는 리튬의 공격에 저항성이 있다. 본 발명의 일 실시예의 태양에 따른 상당히 무산소의 이트륨이 Y층으로 사용될 수 있고, 보다 높은 층 거칠기 따라서 표면 거칠기를 생성하는 경향이 있을 수 있는, 프로세싱동안 옥사이드 층으로 코팅을 방지하기 위하여, 대략 <10-8Torr의 매우 낮은 기저압을 갖는 코팅 시스템으로 코팅이 수행될 수 있다. 열적 안정성을 증가시키고 Mo/Y캐핑 이중층을 통해 리튬의 확산을 줄이기 위해 각각의 Mo와 Y층사이에 얇은 배리어층이 있을 수 있다. 얇은 배리어층은 Mo와 Y층간의 콘트라스트를 증가시킬 수 있고, 다층 스택의 모자 낮은 층으로 리튬의 침투와 확산을 방지하기 위해 리튬에 대한 확산 배리어로서 기능한다. 배리어층에 대한 양호한 후보재는 특정 니트라이드, 카바이드 또는 보라이드(예컨대 Si3N4, BN, ZrN, NbN, C, ZrC, NbC, SiC, B4C, ZrB2, NbB2, YN, YB6)이다. Mo층의 정상부상의 얇은 배리어층은 Y층상의 얇은 배리어층과 다르거나 (같을 수)있다. 이러한 배리어층의 두께는 다르거나(같을 수)있다. MLM스택의 모든 층 사이에는 아니고, Mo나 Y층의 정상부, 즉 이중층사이에 (하나의 각각 대신) 사용된 단하나의 배리어층이 있을 수도 있다.
13.5nm에서 다층 미러 스택의 고반사도를 위해, 이들 층의 두께는 4nm에 가깝고, Mo층의 두께는 2.0nm에 가깝고, 배리어층의 두께는 1nm이하, 예컨대 대략 0.5nm일 수 있다. 정상의 이트륨층 상에 형성되는 이트리아층의 두께는 이트륨층 자체가 매우 낮은 산소 함량을 갖는다면 그 두께가 2nm이하일 수 있지만, 그렇지 않다면 전체 이트륨층은 산화할 수 있다. 최상부층은 4nm이상일 수 있다. 최상부층은 이트륨, 지르코늄, 몰리브덴 또는 루테늄과 같은 다양한 전이 금속 중 어느하나일 수 있다. 이것은 또한, MLM이 공기에 처음 노출된 후 형성되는, Y2O3일 수 있는 이트륨의 경우에, 대략 2nm두께로 형성되고 산화될 수도 있다. LPP광원이 동작할때, 코팅된 미러는 흡수된 리튬의 매우 얇은 층(<1nm)에 의해 덮힐 수 있다.
이트륨은 소위 스페이서(보통 MLM에 대한 실리콘 대신)로서 사용될 수 있고, 예컨대 보호 이중 캐핑층에서 "흡수재"로서 몰리브덴을 사용할 수 있다. 기타 이트륨 대신 사용가능한 스페이서층은 이트륨 스칸듐 합금, 스칸듐이나 칼슘 또는 칼슘-지르코늄일 수 있는데, 이러한 금속과 그 산화물(YScO3, CaO, Sc2O3, CaZrO)는 리튬의 공격에 저항성이 있고 Y와 Y2O3만큼 투명하지는 않지만, 13.5nm의 EUV에 상당히 투명하기 때문이다. 이트륨은 800℃에서도 뜨거운 리튬에 의한 반응에 대하여 열역학적으로 가장 안정된 물질중 하나이다.
대안으로, Mo/Z/Y/Z를 갖는 실리콘 기반 고온 다층 미러(여기서, Z는 얇은 배리어층을 나타낸다)를 코팅하는 것보다, 출원인은 본 발명의 일 실시예의 태양에 따라, 임의의 실리콘층이 없이, 최상부층으로 이트륨을 갖는, Mo/Z/Y/Z다층 MLM스택을 사용함으로써 리튬 호환의 고온 MLM을 형성할 것을 제안한다. 배리어층(Z)은 생략될 수도 있다. 출원인은 이러한 형성에서 고온에서 조차 층의 상호 혼합이 거의 없고, 따라서 얇은 중간층에 대하여 적어도 하나의 필요를 제거할 수 있다고, 즉 열적 불안정성을 일으키는 이러한 상호혼합을 저지할 수 있다고 믿는다. 1nm두께층을 통해 13.5nm에서 단일 통과 EUV투과율은 Si에 대하여 99.83%, 실리콘 기반 물질인 SiO2에 대하여 99.0%, 그리고 Y에 대하여 99.79%, Y2O3에 대하여 98.97%, 이트륨 기반 물질인 YB6에 대하여 99.57%이다.
본 발명의 일 실시예의 태양에 따라, 출원인은 고온 기판에서 기판에 생산 프로세스동안 고온 다층 미러와 리튬 보호 코팅이 적층될 것을 제안한다. 이는 증착동안 흡수된 원자의 이동도를 향상시키고 층의 거칠기를 보다 낮추는 기능을 한다. 표면상의 이동도가 높아지면 보다 유연한 코팅과 인터페이스를 형성한다. 고온 코팅은, 예컨대 400℃ 내지 600℃범위의 고온에서 지속되기 때문에, 이러한 온도에서도 발생할 수 있다.
다층 형성(코팅) 프로세스동안 대략 300℃ 내지 500℃의 범위내의 어느 온도인 고온에서 유지될 수 있다. 따라서 증착 프로세스동안 원자 이동도는 향상된다. 인터페이스의 유연화와 층 거칠기를 감소시키는데 더하여, 유용하고도 다소 빡빡한 밀집층을 또한 생성할 것이다. 출원인은 실온에서 증착후 고온에서 차후 어닐링을 사용하기 보다 층 증착 프로세스동안 기판을 고온에서 유지할 것을 제안한다.
예컨대, 600℃에 달하는 온도에서 13.5nm에서 안정된 반사도를 보이는, 교대로 변하는 MoSi2 및 Si층으로 구성된 고온 다층 미러가 상기한 방식으로 형성된다. 기타 타입의 고온 안정 MLM코팅 또한 이 방법으로 형성될 수도 있다. 그러나, 혼합 저지층등의 중간층없이 이러한 고온에서 안정적이지 않은 몇몇 MLM에 대하여는, 이러한 고온에서의 증착은 유용하지 않고 생산 프로세스동안 (예컨대 혼합에 의한) 다층 구조의 심각한 열화를 일으킬 수 있다.
본 발명의 일 실시예의 태양에 따라, 출원인은 MoSi2/Si다층 코팅을 사용할 것을 제안하는데, 이는 이러한 다층은 적어도 부분적으로는 MoSi2와 Si가 상평형을 이루고 있기 때문에 양호한 열적 안정성을 가지고 있고 따라서 혼합없이 두 물질간의 경계에서 안정적인 인터페이스를 형성하기 때문이다. 상기한 바와 같이, EUV응용에 대하여 MLM다층의 기타 품질을 갖는 물질 및 상평형을 이루고 있는 물질인 기타 흡수재/스페이서 다층 또한 사용될 수도 있다. 기타 물질은 다층 구조 흡수재층으로서 MoSi이중층의 "내부에" 사용된 MoSi2와 상평형을 이루는, 즉, MoSi2/Mo5Si3/MoSi2/Si를 다층 구조 흡수재층으로 포함할 수 있다. 이러한 시스템에 대하여, 모든 인터페이스는 샹평형에 있는 층간에 형성되고, Mo함량은 증가하고 따라서 반사도는 보다 높아진다. 본 발명의 일 실시예의 태양에 따라, Mo5Si3는 Si가 스페이서인 다층구조에서 주 흡수재로 사용된다. Mo5Si3는 Si스페이서와 함께 다층 스택내의 MoSi2보다 높은 반사도를 나타낸다. 층구조는 Si-MoSi2-Mo5Si3-Si-MoSi2-Mo5Si3-MoSi2등이다. 이는 Mo5Si3와 같은 여분의 흡수재와 이중(흡수재/스페이서)층을 형성하는데, 이러한 여분의 흡수재는 Si층 인터페이스 호환 MoSi2 "정상" 흡수재층외의 보다적은 흡수재사이에 낀, 즉 보통의 MoSi이중층 "내부의" Si와 상평형을 이룸으로써 Si스페이서층과의 인터페이스에서 호환될 수 없고 따라서 원하는 파장에서 더 나은 반사도를 제공하면서, 상평형층인, 혼합 및 확산 호환층만이 서로 이웃하고 있음을 제공한다. 따라서, 고온에서 층간 확산에 의한 혼합을 실질적으로 방지함으로써 정상적으로 Mo/Si미러 코팅이 사용되는 EUV파장 범위의 일부에서 다층 미러의 고온 응용에 대하여, 대략 700℃에 달하는 온도에 보다 안정적인 다층 코팅을 형성한다. 리튬 DPP 또는 LPP원등의 반응 원료와의 응용에 대하여, SiO2와 다른 리튬 호환 캐핑층이 상기한 바와 같이 필요할 수 있다.
보통의 Mo/Si다층 미러는 중간확산/혼합에 의해 100-200℃이상의 온도에서 열화하고 따라서 반사도를 줄일 수 있는 혼합 배리어층없이는 온도에 불안정적이다. MoSi2/Si코팅은 고온에서 안정적이지만 광학적 특성때문에 MoSi이중층은 충분한 콘트라스트를 제공하지 못하고 피크 반사도는 그렇게 높지 않다. Mo5Si3를 사용함으로써 다층 스택내의 이러한 콘트라스트를 증가시키는데, (MoSi2에 비해) 광학적 특성이 Mo에 보다 유사하기 때문이다. Mo5Si3/Si다층의 이론적 반사도는 (N=80층인 경우) 13.5nm에서 R=70%를 초과할 수 있다. 주 Mo5Si3와 Si층 사이의 MoSi2의 박층은 이 수를 크게 줄이지 않는다.
도 6에 도시된 바와 같이, 본 발명의 일 실시예의 태양에 따라, MLM(100)은 Si-MoSi2-Mo5Si3-MoSi2를 포함하는 N연속 이중층(104)에 의해 코팅된 기판(102)에 의해 기판(102)상에 형성될 수 있는데, 여기서 Si층(106)은 (대략 13.5nm에서) 비교적 투명한 스페이서층이고 샌드위치층(110)은, 40-120의 범위에서 층 수(N)를 갖는, MoSi2로 된, 인접한 Si층(106)인터페이스에 호환가능하고 인접한 Mo5Si3층(112) 인터페이스에 호환가능한 두 층사이에 Mo5Si3층(112)을 포함한다. 보통의 Mo/Si다층과 마찬가지로 최상부층은 실리콘이고 공기에 노출 후 정상부에서 대략 2nm두께의 얇은 SiO이중 캐핑층(120)을 형성할 수 있다. 그러나, 여기에 설명된 다른 캐핑층, 예컨대, 이트륨, 몰리브덴, 루테늄, 로듐이나 팔라듐 그리고 화합물 및 그 조합 또한 여기에 설명된 단일 캐핑층이나 이중 캐핑층을 형성하는데 고려될 수도 있다.
MLM(100)의 개별 층 두께는 각각의 사용 파장에 대하여 최적화될 수 있다. 13.5nm에서의 최적화에 대하여 전체 Si-MoSi2-Mo5Si3-MoSi2 이중층(104)의 두께는 대략 6.9nm일 수 있다. Si층(106)의 두께는 대략 4nm일 수 있다. MoSi2샌드위칭 층(114)의 두께는 대략 1nm이하이고, 일반적으로는 대략 0.5nm이거나 훨씬 이하일 수 있다. Mo5Si3샌드위치층의 두께는 올바른 전체 두께와 선택된 파장에 대하여 흡 수재 대 스페이서 비율을 제공하기 위해 대략 2nm일 수 있고, 예컨대 대략 6.9nm의 전체 두께와 대략 0.4nm의 흡수재 두께는 13.5nm에 대한 흡수재 대 스페이서 두께비를 제공한다. 캐핑층(120)의 두께는 보다 높은 보호가 필요하면, 대략 3-4nm이상이어야 한다.
본 발명의 일 실시예의 태양에 따라, Si 또는 Y가 캐핑층이라면, MoSi2-Mo5Si3층-MoSi2흡수 샌드위치층(104)의 정상부상에 최적으로 증착되는데, 이는 Si와 Y가 대략 13.5nm에서 매우 투명하고 다층 스택내의 스페이서로 기능하기 때문이다. 한편, Mo, Ru, Rh 또는 Pd가 캐핑층으로 사용된다면, 흡수재로 기능하기 때문에, Si층(106)의 정상부에 최적으로 증착된다.
본 발명의 일 실시예의 태양에 따라, 출원인은 MoRu합금이 다결정 층보다는 오히려 비정질층을 형성한다는 점을 이용할 것을 제안한다. 비정질층은, 리튬이 다결정층내의 그레인 경계를 따라 침투할 수 있기 때문에, 다결정층보다는 리튬 확산을 저지하는 확산 차단재로서 훨씬 잘 기능할 수 있다. 도 7, 8 및 9에 도시된 바와 같이, 리튬 확산을 차단하는 13.5nm의 EUV방사의 고반사를 위한 다층 시스템(130, 130' 및 130'')은 리튬과의 반응에 대하여 안정적이고 고온에서 안정적일 수도 있다. 도 7에 도시된 바와 같이 그 자체상에 고온 다층 코팅일 수 있거나 도 8에 도시된 바와 같은 (캐핑) 다층 코팅의 정상부상에 또는 도 9에 도시된 바와 같은 실리콘 및/또는 실리콘 화합물을 사용하는 보다 높은 반사율의 고온 다층 코팅의 정상부상에 보호 다층 코팅일 수 있다.
이트륨과 지르코늄과 같은 특정 원소는 리튬과 호환가능하고(리튬과 반응하지 않는다) 또한 13.5nm방사에 매우 투명하기도 하다. 그 합금은 다층 스택의 "스페이서"층(134)내에 사용될 수 있다. 층(134)을 형성하는 Y-Zr합금은 "스페이서"층으로 사용된다. 몰리브덴과 루테늄등의 특정 엘리먼트 또한 용이하지는 않지만 리튬과 직접적으로 반응한다. 이들의 합금은 도 7 내지 9에서 도시된 다층 스택(130, 130' 및 130'')내의 "흡수재"층(136)으로서 사용될 수 있다. Mo-Ru합금 또한 "흡수재"층(136)으로 사용될 수도 있다. 그러나, Y, Zr, Mo, Ru와 같은 전이 금속의 산화물은 리튬의 삽입에 민감하다. 즉, 리튬은, 공기에 노출후 다층 스택(130, 130', 130'')의 정상부상에 형성되는 본연의 옥사이드층을 침투할 수 있다. 따라서 본 발명의 일 실시예의 태양에 따라, 부가적인 확산 배리어 캐핑층(138)을 적층할 수 있다. ZrN과 YN은 이러한 캐핑 배리어층(138)으로서 양호한 후보물질이다. 몇몇 리튬 삽입이 최상부 캐핑 배리어층(138)에 여전히 일어날 수 있기 때문에, 본 발명의 일 실시예의 태양에 따라 출원인은, 하부층(134, 136)으로의 리튬의 확산을 저지하기 위해, 흡수재층(136)과 스페이서층(134)사이에 마찬가지의 배리어 중간층(140)을 사용할 것을 제안한다. Mo-Ru합금은 비정질층을 형성할 것이고, 이것은 또한 다결정 Mo층보다잘 리튬의 확산을 저지할 수도 있다. 층의 비정질 특성은 또한 실질적으로 거칠기를 감소시킨다. 이트륨을 갖는 지르코늄의 몇몇 산화 합금은 "이트리아 안정화 지르코니아("YSZ")"로 알려져있고, 캐핑층(138)이나 중간층(140) 또는 둘 다로서, 고온 배리어 코팅으로 사용될 수 있다. 이것은 대략 500℃이하의 온도에서 어떠한 상변이를 일으키지 않는다. 또한 다른 박막의 정상부에 에피택셜층을 형성하고, 따라서 리튬의 확산에 대하여 더 잘 밀봉할 것이다. 층 거칠기 또한 감소된다. YSZ층은 또한 순수한 Zr이나 ZrO2보다 그레인 크기가 작다. 결과적으로 감소된 흡수재와 스페이서층의 거칠기에 의해 EUV반사도가 높아진다.
도 7-9에 도시된 바와 같이 다층 코팅(130, 130', 130'')은 대략 40%의 Mo와 60%의 Ru를 포함할 수 있는 Mo-Ru합금 흡수재층(136)으로 적층될 수 있다. 이후 조성은 대략 Mo4Ru6이다. 흡수재층(136)으로 사용된 Mo-Ru는 대략 두께가 2-3nm일 수 있다. Y-Zr합금은 대략 4-4.5nm의 두께에서 스페이서층(134)으로 사용될 수 있다. YSZ에 대하여, 조성은 일반적으로 20%이하의 이트륨과 80%이상의 Zr이다. 그러나 이트륨은 13.5nm에서 Zr보다 더 투명하기 때문에 최고 반사도를 얻기 위해 사용될 수 있는 합금내에서 20%보다 비교적 높은 이트륨 함량과 80%보다 낮은 지르코늄 함량을 갖는 것이 바람직하다. Y-Zr합금층은 순수한 이트륨층에 비해 보다 낮은 그레인 크기와 인터페이스 거칠기를 가질것이라 예상된다. ZrN는 혼합 및 확산을 차단하기 위해 Y-Zr과 Mo-Ru층간에 적층된 대략 0.5nm두께에서 매우 얇은 중간 배리어층(140)의 일 실시예이다. 기타 버퍼층 물질은 YN, BN, Si3N4와 같은 니트라이드이거나 ZrC, B4C, NbC 또는 Mo2C와 같은 카바이드 또는 탄소이거나, ZrB2, YB6, MoB2, NbB2와 같은 보라이드 또는 붕소일 수 있다.
최적의 전체 이중층 스페이싱(Y-Zr층 및 Mo-Ru층 플러스 버퍼층)은 13.5nm에 서 높은 정상-입사 반사도에 대하여 대략 7.2nm이다. Mo-Ru와 Y-Zr의 교대층의 다층 코팅은, 버퍼층에 의해 분리되건 아니건, 리튬 노출하에 안정적인 고온 캐핑 코팅으로서 컬렉터 미러 기판(132) 정상부에 적층될 수 있다. 또는 리튬 노출에 대하여 보호 코팅으로서 도 9에 도시된 바와 같이, 상기한 바와 같은 실리콘 기반 이중 층 고온 다층 코팅의 정상부에 적층될 수 있다.
최상부층은 도 7에 도시된 바와 같은 Y-Zr합금일 수 있다. 이것은 산화 때문에 공기에 노출될때 본래 산화된 이트리아-지르코니아층(138)을 형성할 것이다. 캐핑 배리어층(138) 또한 도 7에 도시된 바와 같이 Y-Zr합금층 정상부상에 또는 Mo-Ru 합금층(136) 정상부상에 도 8에 도시된 바와 같은 산화를 방지하기 위해 중간 배리어층(140)에 사용된것과 같은 ZrN으로 형성될 수도 있다.
캐핑 또는 중간 배리어층은 또한 대략 0.3nm의 두께에서 ZrN 및 0.3nm의 두께에서 Y와 같은 두개의 박층을 포함할 수도 있다. 또는 중간 배리어층(140)은 Zr만으로 이루어지거나 최상부 캐핑 배리어층(138)만이 ZrN 또는 YN으로 이루어질 수 있다.
13.5nm에서 Mo-Ru/ZrN/Y-Zr/ZrN의 중간 배리어층을 갖는, 이중층을 사용한 다층 미러에 대한 피크 반사도는 층 합금 조성에 따라 R=50%정도일 수 있다. 높은 이트륨 함량을 갖는 Y-Zr층에 대하여 그리고 높은 몰리브덴 함량을 갖는 Mo-Ru층에 대하여 보다 높을 수 있다.
본 발명의 일 실시예의 태양에 따라, 출원인은 Br과 같이, 컬렉터/디렉터 또는 기타 EUV광학기기의 외부층으로부터 원료를 제거하기 위해 제공된 할로겐 에천 트에 저항성이 있는 에천트 저항 배리어나 리튬 확산 배리어로서, 즉 MgF이중 캐핑층하에서 MLM층을 브롬 에칭하는데 배리어로서 MgF이중 캐핑층을 사용할 것을 제안한다. 도 10에 도시된 바와 같이 MLM(150)의 미러 구조는 복수의 표준 MoSi2/Si의 정상부에 증착된 MgF2의 10nm층의 캐핑층(156)으로 덮힌 기판(154)상에 복수의 이중층(152)일 수 있다. MgF2 캐핑층은 종래 기술에서 알려진 것처럼 MoSi2흡수층(158)과 Si스페이서층(160)을 포함하지만, 리튬의 확산에 대하여 동일 레벨의 보호를 제공하지 않고 또한 온도 안정적이지 않고 브롬 에칭에 저항성이 없는 MoSi2/Si이중층(152)의 정상부에 Ru캐핑된 MLM내에 제공되는 것보다 나은 캐핑층을 제공할 수 있다.
도 11을 참조하면 본 발명의 일 실시예의 태양에 따라 MLM(160)의 구조와 조성이 도시되어 있고, 듀얼 이중 MLM스택을 사용하거나 그렇지 않으면 이트륨 스페이서층(162)과 MO흡수재층(164), 더 나아가 아래의 실리콘 스페이서층(166) 및 더 나아가 아래의 Mo흡수재층(164)을 포함하는 샌드위치 흡수재층을 포함하는 이중층으로 고려된다. 이러한 일련의 듀얼 이중층(170)은, 여기에 설명한 바와 같이 캐핑층으로서 최상부 Y층(162)를 가지거나 기타 단일 또는 이중 캐핑층을 가진 MLM(160) 스택을 통해 기판(161)으로 반복될 수 있다. 구조는 또한 Y층(162)을 포함하는 이중층의 일부로서 샌드위치된 Mo/SiMo흡수재층(168)을 가진 이중 MLM스택층으로서 고려될 수도 있다. 도 11에 도시된 바와 같이, 듀얼 이중 캐핑층(170)은 듀얼 이중 캐핑층(170)이 확산이나 경계 중간 층/영역 불안정, 혼합 등의 이유로 성능 열화되었을 때, 원래의 것과 같은 구조의 그 다음 아래의 듀얼 이중 캐핑 층이 다음 듀얼 이중 캐핑 층 등을 기판(161)을 향해 스택 아래에 형성하는 장점을 갖는 실질적으로 완전한 스택이거나 완전한 스택을 형성하기 위해 아래의 반복적인 듀얼 이중 스택층(170')을 형성할 수도 있다. 도 11에 도시된 예인 듀얼 이중층에 대한 두께는 도 11에 도시된 바와 같이 (Y/Mo/Si/Mo)NMLM(160)내의 정상부에서 바닥까지, 예컨대 4.19nm Y, 2.75nm Mo, 4.16nm Si 그리고 2.84nm Mo일 수 있다.
도 13은 전체 층수에 대한 이러한 시스템의 13.5nm에서 반사도의 그래프를 도시하고 있다. 즉, 대략 100개의 층에 대하여 4개의 층 스택(168)의 반복율은 25이다. 도 13은 또한 이러한 타입의 네개의 층 스택이 100 내지 200의 또 다른 층의 부가로 높은 반사도를 유지하고 그 이상에서는 스택이 원래 200이면 크게 감소되지 않을 것이고 또한 층은 100 즈음에서 미러의 수명에 대하여 줄어들 것이다.
도 12를 참조하면 본 발명의 일 실시예의 태양에 따라, 기판(181)상에 MLM(180)의 비례 않은 개요도가 도시되어 있다. MLM(180)은 Mo를 포함할 수 있고 이중 캐핑층(185)을 형성하는 것과 함께, Y 캐핑 스페이서층(184)을 포함하는 스페이서층에 중첩할 수 있는 캐핑 흡수층(182)을 포함할 수 있다. 다량의 MLM(180)는 복수의 흡수재(182)를 포함할 수 있고, 이러한 흡수재(182)는 Mo를 포함할 수 있고 그 각각은 이중 MLM스택층(188)을 형성하는 것과 함께 SiB등 여기에 언급된 것과 같은 보라이드 또는 니트라이드등의 혼합 경계 중간층(192)을 갖는, SiC스페이서층등의 스페이서층과 중첩할 수 있다. 또한 본 발명의 일 실시예의 태양에 따라, 확 산 경계 이중 스택층(190)은 이중 캐핑 및 확산 배리어층(185)과 같은 물질로 구성될 수 있다. 즉 예에 의하여 Mo흡수재층(182)과 Y스페이서층(184)의 이중 캐핑층(185)을 포함한다.
당업자는 목표가 대략 13.5nm에서 EUV범위내의 원하는 파장에서 광을 생성하는 플라즈마 원료로부터 플라즈마의 형성으로 부터 EUV광원 생성 챔버내의 광학 소자상에 증착되는 리튬등의 반응 원료가 다층 미러 스택에 깊이 침투하고, 거기서 동작 환경에서 MLM의 바람직한 수명으로부터 상당히 손상시키고 다층 미러 반사도를 실제적으로 파괴하는, MLM스택의 층내 실리콘과의 반응을 방지하는 것임을 이해할 것이다. 한가지 문제는 직접적으로 벌크를 통해서 또는 그레인 경계를 통해서 또는 코팅의 결함을 따라, 리튬이 많은 물질을 통해 쉽게 확산된다는 것이다. 캐핑 층의 물질과 반응하지 않더라도, 여전히 침투할 수 있고 이후 캐핑 층 아래의 층과 반응할 수 있다. 이것은 비교적 다층 구조를 빠르게 파괴하거나 적어도 허용될 수 없는 작은 값으로 반사도를 낮추어버린다. 미러가 잠재적으로 가열된다는 사실은 반응을 더 쉽게하도록 만드는데 각각의 화학 반응을 자극하는 부가 에너지를 공급하기 때문이다. 따라서 본 발명의 일 실시예의 태양에 따라 출원인은 리튬과 실질적으로 반응하지 않을 및/또는 400℃-500℃+의 고온에서도, 보다 낮은 층으로 리튬의 확산을 방지할 얇은 코팅을 사용할 것을 제안한다. 전환기 시스템의 고온 액상 리튬으로부터 보통 바나듐 합금인 제 1 벽을 보호하기 위해 배리어 코팅을 갖는 퓨전 커뮤니티로부터 습득한 원리를 적용하여 (여기서 필요조건은 리튬 호환 고온 다층 코팅에 대한 필요조건과 다소 동일하다), 출원인은 DPP나 LPP플라즈마를 일으키는데 반응 원료가 사용되는 환경에서 동작을 위해 더 잘 적합하게 하기 위해 EUV컬렉터/디렉터 반사 엘리먼트의 개선을 제안하고, EUV광은 생성되고 초점에 집광되고 향해져야 한다는 그 환경의 필요조건, 즉 다층 미러 스택에서 원하는 파장에서 선택된 물질의 유효 동작을 위한 필요조건과 같은 고려를 제안한다. 높은 EUV투과성과 양호한 박막 특성, 저 혼합, 저 거칠기, 양호한 층 성장등의 필요조건을 출원인이 고려하였다.
또 다른 정보원은 표면 과학 커뮤니티로부터의 연구 작업이다. 이들은 반도체 집적 회로 웨이퍼 처리 물질 과학에서 응용을 위해 일반적으로 말하면 단결정면으로부터 리튬 층의 흡수, 반응, 확산 그리고 탈착을 연구해왔다. 본 발명의 일 실시예의 태양에 따르면 출원인은 주변 공기에 노출될 때 다층 코팅의 산화등의 양상을 고려해왔다. 일반적으로, 두 가지 가능성이 있다. 또 다른 산화에 대한 유효 배리어를 제공하는 얇은 산화물층이 성장하고 코팅은 보통, 일반적으로 2nm두께 안정적인 SiO이중층을 정상부에 생성시킬 수 있고 더 산화가 없는 실리콘 층 종단된 다층 코팅의 경우 얇은 산화물층에 의해 대략 "밀봉"된다. 두 번째 가능성은 산화물층의 두께가, 공기로의 노출에 의해, 산소가 완전히 산화될 때까지 Mo층에 계속 침투하도록, 몰리브덴이 정상층이 될때, Mo/Si다층의 경우에 대하여 성장을 지속하는 것이다. 이후 반사도는 감소하는데, MoO3산화물이 Mo보다 상당히 강하게 13.5nm의 EUV방사를 흡수하기 때문이다. 본 발명의 실시예의 태양에 따라 출원인은 EUV컬렉터/디렉터 미러와 기타 반사기 엘리먼트에 대한 MLM에 이러한 원리의 세 분화를 고려했다.
리튬은 벌크 Si보다 SiO2와 덜 반응적이지만, 적어도 단결정면에 대해서는 리튬의 흡수/반응 및 확산은 n타입 도핑된 Si에 대해 훨씬 덜 강하다. (대략 250℃이상의) 고온에서 SiO2정상층은 리튬과 훨씬 쉽게 반응할 수 있다. Si 및 Li는 반응하여 다양한 화학량적 혼합물과의 LiSi(실리사이드) 화합물을 형성한다. 벌크 실리콘은 Li에 대해 반응성을 제한하지만 대략 400℃이상에서 전기화학적 반응이 일어난다. 그러나 나노물질에 대해 이는 거의 실온에서 일어날 수 있는데, 보다 높은 표면 에너지 때문인 것으로 추정된다. SiO2는 실온에서 리튬의 확산에 배리어가 될 수 있지만, 보다 높은 온도에서 그렇게 되도록 중지할 수 있다. 따라서, Si/SiO2에 의해 종단된 다층은 리튬과 반응하는 경향이 있을 수 있고 리튬 환경내의 고온 MLM코팅에 대해서는 적합하지 않을 수 있다. 그러나 부가적인 얇은 반응 배리어/중간확산 층을 갖는 하나 이상의 보호층이 상기한 보호를 위해 필요하다.
Mo/Si MLM미러의 단일 캐핑층으로 Mo만을 사용할 수 있다. 그러나 Mo는 산화하여 10-12%의 반사도 손실을 일으킬 수 있다. 리튬은 몰리브덴 산화물과 반응하여 반사도를 손상시킬 수도 있는 Li2O나 리튬 몰리베이트(Li2MoO4)를 형성할 수 있지만, 실제적으로는 리튬 노출하에서 안정적인 상태를 형성할 수도 있다.
출원인은 또한 작업가능한 것으로 루테늄 캐핑층을 고려하는데, Ru캐핑 층이 다른 리튬 환경에 있기전에 성공적으로 사용되어왔기 때문이다. 그러나, Si층 정상부에 직접적으로 증착된 Ru로써, 중간확산이 있고 루테늄 실리사이드 혼합층이 형성될 수 있는데, 이는 EUV MLM미러 으용에 있어서 해결되어야한다. 이것을 방지하기 위해, LLNL그룹은 Ru캐핑층아래에 얇은 B4C층을 둘 것을 제안했다. Ru층은 산화에 저항성이 있다는 이점을 갖는다. 그러나, 루테늄층으로 (그리고 아마 루테늄층을 통해) 리튬의 확산이 있을 수 있고, 적어도 이것이 진실하다는 것을 암시하는 단결정 Ru표면과 Li확산에 대한 연구가 있다. 13.5nm에서, 루테늄은 몰리브덴처럼 거의 투명하지만, 상당히 투명하지는 않다.
캐핑층에 대한 후보재로서 기타 전이 금속이 있다. Rh와 Pd는 산화에 대한 보다덜한 경향이 있지만 보다 강하게 13.5nm방사를 흡수한다. Nb와 Zr는 산화물층을 형성할 것이지만 원소 자체는 보다 투명하다. EUV의 투명도는 주기율표에서 Zr에서 Y로, Sr에서 Ru로 갈수록 증가한다. 그러나, 엘리먼트(Ru)는 최선의 선택은 아닌데, 이는 리튬과 비교적 반응성이 크고 저온에서도 녹기 때문이다. 그러나 RuCl등의 Ru화합물은 고려될 수 있을 것이다. Mo/Sr다층 코팅은 크게 고무적인 결과는 아닌 LLNL로부터 Montcalm등에 의해 테스트되었다. 반사도는, 보호 탄소 코팅이 적층되었을때 조차, 공기에 노출 후 크게 감소했다. 비교적 높은 13.5nm의 EUV 투과도 때문에, 보다 아래의 층을 보호하고 Li의 확산을 방지하기 위한 적어도 얇은 배리어층에 대하여 ZrC나 ZrB2같은 지르코늄 화합물이 양호한 후보재이다.
이트륨과 이트륨 화합물은 본 발명의 일 실시예의 태양에 따른 응용에 사용하기 위해 매우 전망이 밝아보인다. Mo/Y는, 양호한 스퍼터 목표물을 획득하고 더나은 UHV마그네트론 스퍼터링 조건을 가진후에 LLNL그룹으로부터 S. Baijt등에 의 해 성공적으로 만들어졌다. 이들은 주로 대략 11.5nm에서, 7-12nm의 영역에 대하여 이러한 다층을 제조하고 연구하였다. Mo/Y MLM은 (적어도 이론적으로는) 6-15nm의 광범위한 영역에서는 양호하지만, 12.4-15nm범위에서는 Mo/Si의 반사도에 이르지 않는다. (11.5nm MLM에 대하여)연구된 경우에 정상부층으로서 Mo는 정상부상의 이트륨보다 양호한 것으로 발견되었고, Pd캐핑층보다도 양호한 것으로 발견되었다. 정상부에서라면, 이트륨층은 분명 온전하게 산화하고, 아래의 Mo의 일부를 산화시킬 것이다. 이트륨의 산화물 함량과 증착시 진공 시스템의 산화물 배경은 얻어진 반사도에 대하여 매우 중요하다. 250, 380 그리고 480℃등의 고온에서 어닐링한후, Mo와 Y층간에 TEM결과에 있어 보다 양호한 콘트라스트가 있지만, 반사도는 연구된 시스템에 대하여 250℃에서만 안정적이었다. 다소 높은 산소 함량을 갖는 스퍼터 목표물은 이트륨을 포함하는 매우 낮은 산소를 갖는 Mo/Y MLM보다 높은 EUV반사도를 나타내었다. 산소는 유연화 효과를 가질 수 있었다. 본 발명의 일 실시예의 태양에 따라, 출원인은 스퍼터 목표물로 사용된 무산소 이트륨은 단지 얇은 (대략 2nm두께의)Y2O3층만이 형성되게 할 것이고 그 아래의 이트륨은 비교적 무산소여서 공격받지 않을 것이다. 이것은 또한 보다 ㅁ낳은 무산소 이트륨층(무산소 스퍼터 목표물)로써 보다 양호한 고온 안정성을 나타내게 할 수도 있다.
퓨전 커뮤니티로부터 다른 유용한 정보를 얻는다. 바나듐 합금벽에서 고온 액상 리튬을 분리하기 위해 절연 리튬저항성 코팅이 필요하고, 이 점에서 사용된 산화물층은 Al2O3, MgO, AlN, BN, CaO, Y2O3, BeO, Er2O3, Sc2O3, CaZrO, YScO, Si3N4, LiAlO3, HfO2, ZrO2등을 포함하고, 그 일부는 500℃이상 그리고 심지어 1000℃에 달하는 고온에서 이러한 응용에 유효한 것으로 나타났다. CaO와 AlN은 주도적인 고온 후보재이지만, CaO층은 EUV MLM응용에 대해 몇몇 결함, 예컨대 고온에서의 질량 손실을 가질 수 있다. Y2O3와 Sc2O3는 고온 리튬에 대하여 가장 안정적인 산화물인 것같은데, 예컨대 이트리아(Y2O3)는 열역학적으로 가장 안정적일 수 있고 거의 부식되지 않을 수 있다. 기타 가능한 산화물은 Er2O3, YScO3 및 CaO이다. 반대로, SiO2는, 예컨대 Sn-25Li합금에 대하여 근소하게 안정적이다. 밀도가 주어지면 1nm의 두께의 단일층의 13.5nm투과도를 계산할 수 있는데 예컨대 몇개를 지칭하면, 단일 통과 투과도는 Si에 대하여 99.83%, Y에 대해 99.79%, Y2O3에 대해 98.97%, Sc2O3에 대해 98.23%, YB6에 대해 99.64%, CaO에 대해 98.76%이다. YLiO이중층이 이트리아상부에 형성될 수 있다.
이트륨이나 이트륨 화합물은 리튬에 대해 양호한 특성을 갖고 거의 반응성을 나타내지 않는다. 본 발명의 일 실시예의 태양에 따라, 출원인은다층 스택에서 확산과 혼합을 저지하기 위한 얇은 중간층으로서 이트륨 헥사보라이드등의 이트륨 화합물을 사용할 것을 제안한다. 본 발명의 일 실시예의 태양에 따라 출원인은 또한 캐핑층으로서 공기에 이트륨이 노출된 후에 형성된 Y2O3층을 사용할 것을 고려한다. 리틈 이온에 의해 스퍼터링 저항에 관하여, Y는 마찬가지의 전이 금속과 같이 양호해야하고 예컨대, Si보다 우수해야한다.
본 발명의 일 실시예의 태양에 따라 출원인은 또한 (혼합 저지를 통해) 온도 안정성을 제공하기 위한 얇은 배리어층 및 리튬에 대한 확산 배리어에 의해, 분리된 Mo와 Y의 보호층으로 (MoSi2/Si와 같은) 고온 다층을 코팅할 것을 고려하고 있다. Mo/Y는 250℃이상에서 안정적이지 않을 수 있기 때문에, 배리어층이 필요할 수 있다. 탄소가 고온에서 확산을 개시할 수 있지만, 탄소나 B4C가 사용될 수 있다. 기타 가능한 배리어층은 ZrC, ZrB2, YB6, SiB6등을 포함한다. 이트륨은 13.5nm에서 꽤 높은 투과도를 가진 어느정도 양호한 스페이서 물질이기 때문에 EUV컬렉터/디렉터에 응용하고 MLM스택에 다른 반사 응용에 적합하다.
본 발명의 일 실시예의 태양에 따라 리튬등의 반응 원료에 대한 보호를 위해 캐핑층이 고려되었다. 일부는 아닐 수 있지만, 이트리아와 같이 몇몇 산화물층은 고온에서 Li에 안정적이므로 산화가 고려되었다. 양 단일 보호층과 다층 보호 스택이 제안되었고 보호층에 대한 후보재로써 SiO 종단된 고온 다층 미러의 사용이 제안된다.
본 발명의 일 실시예의 태양에 따라 출원인은 확산을 방지하기 위해 표면에서 분리된층을 형성하는 Mo와 Si층 도펀트사이의 아래 배리어층의 혼합과 실리사이드 형성을 방지하고 Si층에 리튬이 확산되는 것을 방지하기 위한 Mo/Si스택내의 Si대신 w/n타입 도핑된 Si로서 또는 스택된 Mo/Si이중층과 같은 다층 스택내의 이중층내의 단일층으로서 다층 스택내의 Si층을 사용하여, MLM같은 광학기기를 반사하는 플라즈마 생성 EUV에 관한 청구항을 첨부하고 개시하고 있다.
출원인은 또한 캐핑층내에 Ru와 같은 단일 금속의 정상층 대신 LiNbO3와 같은 화합물의 산화물을 포함할 수 있는, 리튬등 반응 플라즈마 원료의 화합물을 포함하는 층과 Ru등 주기번호 5를 가진 3-9족 금속의 비교적 투명한 이중층을 자체로 포함하는 보호 캐핑층을 포함하는 MLM을 포함하는 플라즈마 기반 EUV 광원 반사 엘리먼트에 관한 첨부 청구항을 개시하고 있다. 리튬 함유층은 Li의 공격으로 크게 영향받지는 않는다. 금속과 화합물은 제거되지 않으면 이중 캐핑층에 의해 MLM의 반사도의 손실이 감소되도록 상변화와 MLM주기를 보존하기 위한 각각의 굴절율 및 흡수재 대 스페이서의 비 그리고 두께 피치, 우측 포지셔닝을 갖는 이중 캐핑층을 형성할 수 있다. 이중 캐핑층의 층 물질은 화합물이 화학량적이고 그리고/또는 물질이 실질적인 혼합에 놓여있지 않기 때문에, 상평형을 이루도록 선택될 수 있다. 본 발명의 일 실시예의 태양에 따라 출원인은 MLM의 이중 캐핑층사이, 예컨대, 리튬등의 반응성 플라즈마 원료가 캐핑층을 따라 가능것을 방지하도록 조치된 실리콘을 갖는 (도시안된) 그리드와 그 실리콘층 위에 이중 또는 단일 캐핑층으로서 이중 캐핑층을 형성함으로써 Ru/LiNbO3이중 캐핑층과 그 아래 실리콘을 포함하는 이중 MLM층사이에 진공을 둘것을 제안하는데, 여기서 그리드와 진공은 진공을 형성하기 하는 층을 각각 분리시키고, 리튬등의 반응 원료에 의한 확산/상호작용을 방지하는 기능을 한다.
본 발명의 일 실시예의 태양에 따라 출원인이 제안하는 첨부된 청구항은 교대하는 밀도의 SiC층을 갖는 MLM스택을 갖고 대략 700℃에서 양호한 열적 안정성을 나타낼 전도성을 위해 도핑될 수 있는 MLM에 관한 것이다. 이러한 물질은 견고하고, 낮은 열팽창 계수와 양호한 열전도성을 갖고, 높은 밀도와 용융점을 갖는다. 밀도는 굴절율을 조절하기 때문에 MLM스택의 각각의 이중층을 형성하는데 각각 서로다른 밀도를 가진 층의 두께를 조절한다. SiC는 적은 w/r/t파장이 아닌 거친 물질 그레인을 형성할 수 있다. 이 실시예에서는 다공성이 있고 다중 반사면을 형성하는데 충분히 밀집적이거나 조밀하지 않은 그레인 구조를 갖는 그레인 특성을 갖는 물질을 형성한다. 주석이나 인듐등의 덜 반응적인 플라즈마 원료에 대해서, 부분적으로는 저온 동작과 낮은 반응성 때문에 확산 문제는 상당히 줄어들 수 있다. Li는 Si를 공격하는 반면, SiC를 얼마나 잘 공격할 지는 분명하지 않다. 더욱이, Ru나 Mo의 캐핑층을 갖는 적당한 캐핑이 본 출원 또는 기타 배경기술에 설명된 기타 캐핑 기술과 함께 미러 표면을 보호하는데 사용될 수 있다. SiC유전 다층 스택 미러의 기타 장점은 저 열팽창계수, 매우 견고하고 딱딱한 물질, 고온 전도성, 고밀도(진공 호환), 고 용융점등이다. 물질을 연마할 수 있는 공급사가 있다면 SiC의 연마 또한 가능하다.
본 발명의 일 실시예의 태양에 따라 출원인은 다층 미러 스택을 형성하기 위한 CVD프로세스를 통해 다공성 SiC와 밀집 SiC를 교대로 증착하는 것을 제안한다. 대안으로 밀집 SiC를 증착하고 진기화학적으로 에칭하거나 홀을 형성할 수 있다. 다공성 SiC는 보다 높은 n을 가질 것인데, 여기서 밀집재 SiC는 보다 낮은 n을 가질 것이다. 에칭 프로세스의 정련에 의해 SiC에칭동안 전기화학적 포텐셜을 변하게 하여 예컨대 3-D 구멍 구조를 형성하게할 수 있다. 고전류 밀도 에칭은 보다 많은 다공질의 SiC를 생성할 것이다.
Trex사에서 이용가능한 CVD프로세스는 Trex 권리인 CVD프로세스에 기초한 라미나 구조의 물질을 생성할 수 있다. 반응기내의 SiC증착 화학물질을 주기적으로 변경함으로써(예컨대 피드 가스를 바꿈으로써) 교대하는 밀도층의 라미나 세라믹을 생성할 수 있다.
각각의 층의 전도도와 굴절율을 조절하는 기능을 할 수 있는, 보다 적당한 시간에 서로 다른 도펀트내에 혼합에 의해 또 다른 구성 개념이 CVD프로세스를 변경하는 것을 포함할 수 있다. 기본적으로, 최종적인 미러는 여전히 SiC이지만, 몇몇 세라믹 도펀트로써 밀도와 전기 전도도를 조절한다. 이러한 개념은 SiC내의 Si와 SiC(자체 확산)의 확산이 매우 느리기 때문에 고온에서 13.5nm 방사 미러의 열적 손상에 관한 염려를 제거해야한다. 열적 열화는 확산에 의해 구동되고 300℃-500℃이상에서 사용된 Mo/Si 미러에서 관찰되는데, 이러한 물질은 (합성이 아닌) 천연상태에서 금속성이기 때문이다. >500℃의 동작에 대하여 Mo/Si미러를 개선하는데 중간층이 사용될 수 있고 또한 SiC스택 미러에 대하여 유용한 것으로 입증될 수도 있다.
파라볼라형 반사기가 교대층의 증착에 의해 만들어지고 이후 플랜지에 장착될 수 있었다. SiC는 도전성이므로, 가열할 수 있거나, DC/RF가 세정에 대한 컬렉터를 바이어싱한다. SiC다층 스택 미러는 저항적으로 가열될 수 있다. 금속은 견고하기 때문에, 원하는 400℃-500℃+ 동작 온도에서는 녹거나 연화되지 않을 것이라 예상된다. 본 발명의 일 실시예의 태양에 따라 출원인은 벌크 SiC미러를 성장 시키고 미러를 연마한 후 그 위에 SiC스택이 증착되게 할 것을 제안한다. SiC막상에 Ru나 Mo캐핑층은 리튬등 반응 원료와의 반응을 방지하는데 사용될 수 있다.
본 발명의 일 실시예의 태양에 따라 출원인은 첨부된 청구항을 제안하고 이는 Y/Mo 이중층이나 기타 이중층일 수도 있는, 아래의 EUV MLM반사 표면을 위한 정상 캐핑 이중 층으로서 몰리브덴과 함께 이트륨 산화물을 포함하는 플라즈마 생성 EUV광원 MLM에 관한 것이다. 대안으로, 출원인은 400-500℃이상에서 전체 반사 스택에 대한 Mo/Y 스택의 사용을 가능하게 하기 위해 중간 배리어층을 사용하여 MLM의 전체 스택에 대한 Mo/Y 이중층을 사용할 것을 제안한다. 또한 Si기반 스택은 Mo, Y의 이중 캐핑층 및 이중 캐핑층에 대한 확산 배리어 층에 의해 코팅될 수 있고, Y는 최상부층이고, 이후 공기(Y와 Y2O3가 리튬에 저항성이 있는)에 노출될 때 Y2O3층을 형성할 수 있고 대략 13.5nm에서 EUV에 충분히 투명하여 이중 캐핑층내 및/또는 MLM스택을 통하여 스페이서층이 된다. Mo/Y 및/또는 Mo/이트리아 이중층은 전체 반사도에 있어서 몇몇 희생으로 MoSi MLM보다 나은 열적 특성을 가질 수 있다. 보다 낮은 층에 MoSi를 정상부에 이트륨 미러층을 사용함으로써 반사도의 손실을 줄일 수 있다. Mo/Y층은 현재 EUV MLM에 대하여 유용하게되는 제한된 충분한 산소 함량으로 생산가능하다. Mo/Y기반 이중 캐핑층은 정상 캐핑층이 파괴되는 경우를 수용하기 위해 MLM을 통해 확산될 수 있다. 리튬이 각각의 이중층의 확산 경계층내에 머무른다면 결과적으로 반사도가 증가하지만 EUV의 흡수도 증가한다. MLM은 리튬의 일부 유입을 견딜 수 있고 리튬의 확산이 콘트라스트를 감소시키더라도 여전히 동작하는데, 흡수는 상승하고 스페이싱은 선택된 EUV λ에 관하여 수정 되고 또한 중간 배리어층으로의 리튬의 확산이 거칠기를 변화시킬 수 있기 때문이고, 반사도와 열적 안정성에 영향을 미친다. 그러나, 이미 리튬을 포함하는 스페이서는 미러의 특성에 덜 손상을 입는 보다 많은 확산에 견딜 수있다. 이러한 배리어층과 같이 본 발명의 일 실시예의 태양에 따라 사용될 수 있는 물질의 목록은 수나노 두께의 MgF, LiF SiOF와 같은 플루오라이드, Er2O3, HFO2, Ta2O5와 같은 산화물, 내화 금속 산화물, 희토류 금속 플루오라이드, 니트라이드 및 옥사이드, 크로뮴 플루오라이드, 니트라이드 및 옥사이드 및 실리사이드를 포함한다.
본 발명의 일 실시예의 태양에 따라 출원인은 첨부된 청구항을 개시하고 있는데, 청구항은 리튬의 확산/삽입/침투를 방지하고 리튬으로부터 보다 낮은 (고온) 다층 미러 코팅을 방지하기 위하여 정상부상에 네거티브 이트리아 옥사이드(또는 이트륨-지르코니아)를 갖는 이트륨(또는 이트륨 지르코늄 합금)으로 구성되는 보호층에 관련된다. 또 다른 양호한 조합은 바닥 오버코트층으로서 (예컨대 ca. 4nm두께의) 이트륨과 정상부 오버코트로서 (예컨대 ca. 3nm두께의) 루테늄을 갖는 이중층으로 구성되는 보호층일 수 있다. (또는 정상부 오버코트층으로 Ru-Mo합금 또는 이트륨(또는 이트륨-지르코늄 합금) 바닥 오버코트층위의 정상부 오버코트층으로 Ru로 덮힌 Mo)
본 발명의 일 실시예의 태양에 따라 출원인은 첨부한 청구항을 개시하고 있고 본 청구항은 실리콘 옥시-니트라이드 확산 배리어층을 생성하기 위해 N2환경내에서 반응적으로 스퍼터링되거나 N으로 도핑된 SiO2에 관련된다.
본 발명의 일 실시예의 태양에 따라 출원인은 첨부한 청구항을 개시하고 있고 본 청구항은 궁극적으로 다층 스택내에 보다 양호한 온도 안정도를 낳는, 상면 거칠기를 감소시키기 위한 층내 증착되는 원자의 이동도를 증가시키기 위하여 MLM스택 층 증착 동안 기판을 가열하는 것과 관련된다. 생성된 층은 또한 증착의 밀도 증가로 개선된다. 이것은 대략 600℃에서 더나은 반사도를 갖는 유용성을 개선시킬 수 있다. 또한 결과적으로는 인터페이스 배리어 기능을 개선시키고 반사도를 증가시키는 표면 거칠기를 감소시킨다. 보통의 Mo/Si로써 가열하면 고온에서 더 많은 혼합을 일으킬 수 있지만, 예컨대 MoSi2/Si, Mo2C/Si, Mo/SiC, Mo/SiB2등, 혼합하는 경향이 적은 층으로 그리고 Mo/X/Si/X(여기서 X는 C, SiC, Si니트라이드 및 Si옥시니트라이드 및 보라이드 및 보로니트라이드)사이에 있는 배리어층으로써 증착하는 동안 가열로 덜 혼합될 수 있다. 이것은 또한, Y와 그 화합물로써도 적용될 수 있고, 니트라이드, 보라이드 카바이드 및 옥시니트라이드는 양호한 확산 배리어이고 매우 얇은층내에 낮은 반사도와 낮은 흡수율을 가지고 있다. 이러한 물질은 질소, 붕소 또는 보론 니트라이드로써, 산화 od 실리콘에 의해 형성되는 것처럼 SiO2를 도핑함으로써 형성될 수 있다.
본 발명의 일 실시예의 태양에 따라, 출원인은 첨부한 청구항을 개시하고 있고, 본 청구항은 더 나은 EUV반사도를 위해 그리고 약 700℃에서 경계 혼합을 피함으로써 고온 안정도를 향상시키기 위해 서로 경계하는 서로 상평형에 있는 층을 유지하기 위해 (Si가 스페이서층) 주 흡수층내의 Mo양을 증가시키기 위해 MoSi2- Mo5Si3-MoSi2-Si MLM스택내의 MoSi2샌드위칭층을 갖는 샌드위치된 Mo5Si3 주 흡수재층의 사용에 관련된다.
본 발명의 일 실시예의 태양에 따라 출원인은 첨부한 청구항을 개시하고 있고, 본 청구항은 캐핑 이중층의 최상부층으로서 그리고 ZrN이 캐핑 층에 인터페이스로서 아래 이중 층의 Mo-Ru 흡수재층을 갖는 최상부층이면 Y-Zr이거나 ZrN 또는 기타 니트라이드 이거나 카바이드 또는 보라이드로써 그리고 배리어층이 ZrN이거나 기타 니트라이드 카바이드 또는 보라이드일 수 있는 배리어층을 갖거나 갖지않는 Y-Zr합금층 및 Mo-Ru의 다층 스택에 관련된다. 또한 리튬의 확산을 줄이기 위해 확산 배리어층이 비정질일 수 있다. Y-Zr 스페이서 합금, 이트륨 안정화 지르코늄은 매우 양호한 안정재 배리어층으로 기능할 수 있다.
출원인은 리튬 확산으로 MgF2나 LiF를 그리고 루테늄에 대한 개선으로서 MLM할로겐 에칭 배리어 캐핑층을 제안했다. 본원의 공통 양수인에게 할당된 상기 계류중인 특허 출원에 설명된 바와 같이 다양한 찌꺼기 관리 전술이 반사기등의 EUV원 챔버 컬렉터 광학기기의 보호에 이용될 수 있는데, 이러한 광학기기는 MLM컬렉터등을 거친 환경에 둘 수 있고, 그 일 예가 플라즈마 형성 찌꺼기, 다른 언급한 기술에 의해 제거하기 보다 어려울 수 있는 예컨대 찌꺼기 원료 또는 보다 중요한 찌꺼기 원료의 화합물등의 화합물을 에칭하기 위해 챔버내에 포함된 할로겐으로 사용된다. 이러한 이유로 출원인은 플라즈마 원료의 확산에 저항하고 MLM외부 캐핑층으로부터 플라즈마를 에칭하는데 사용된 할로겐등의 에천트에 의한 에칭에 저항 할 수 있는 MLM를 위한 캐핑층을 제안한다. 상기한 바와 같이 이것은 MgF2나 LiF등 플루오르 포함 코팅등 할로겐 포함 코팅을 포함할 수 있다.
상기한 본 발명의 일 실시예의 태양은 바람직한 실시예일 뿐이고 본 발명의 개시를 어떠한 형태로든 제한하는 것이 아니고 특히 특정 바람직한 실시예에만 제한하는 것은 아님을 당업자는 이해할 것이다. 당업자에게 이해되고 파악될 개시된 발명의 다양한 변화와 변형이 가능할 것이다. 첨부된 청구항은 범위를 정하고 본 발명의 실시예의 개시된 태양은 물론 당업자에게 분명한 균등물 및 기타 변경과 변형을 포함하는 의미이다. 상기한 본 발명의 실시예의 태양 및 개시의 변경과 변형에 더하여 다음이 구현될 수 있다. 큰 이중층내에 의하여, 본원에서 MLM층 및 특히 흡수재 및 스페이서층의 결합으로 구성되는 것에 대한 적당한 특징을 갖는 물질로 선택된 것으로서 캐핑 층이나 스택층 또는 주기 이중 확산 블록층으로서 본원에 설명되었던 출원인은 몇몇 전형적인 예를 제공하였지만, 당업자는 두께등의 치수는 변할 수 있음을 이해할 것이고 적당한 반사도를 위해 이러한 치수를 적당히 결정하는 방법을 이해할 것이고 13.5nm외 다른 EUV범위내의 목표물 중심 파장에 대하여 이용가능하도록 당연히 이해된다. 이것은 비정질에서 결정질로 결정 구조를 변화시키고, 도펀트를 변화시키는 것을 포함하여 굴절율이 수정될 수 있는 기타 방식, 및 증착된층내에 물질의 밀도에 영향을 주는 상기한 방식으로 다양한 층을 형성하는 코팅의 증착 방법에 따라, 동일한 물질에 대하여 변할 수 있다. 당업자는 또한 여기에 언급한 것 처럼, 특정 물질이 MLM내의 층에 대한 물질의 일예만큼 유용하면 물질의 화합물과 합금은 EUV원 챔버 반사 광학 다층 반사기에 대한 동일하거나 유사한 충분한 특성을 갖도록 당업자에게 이해될 것이고 따라서 첨부한 청구항에 언급되거나 특별히 개시된 물질에 대한 대체물이다. 적어도 일 실시예의 태양에 관하여 상기한 바와 같이, 첨부한 청구항에 포함된 본원에 사용된 이중층은, 상기한 바와 같이 더나은 인터페이스 상평형을 이용하는데 사용된 상기한 샌드위치된 흡수재층등 이중층의 구성요소로서 "샌드위치된" 그리고 기타 다중층을 덮기 위해 당업자에게 이해될 것처럼 적당한 것을 커버하도록 의도된다.

Claims (75)

  1. 제 2 물질로 도핑된 제 1 물질을 포함하는 다층 스택내에 적어도 하나의 이중 층을 포함하는 다층 스택을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  2. 제 1 항에 있어서,
    제 1 물질은 유전 물질을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  3. 제 1 항에 있어서,
    적어도 하나의 층은 스페이서 층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  4. 제 2 항에 있어서,
    적어도 하나의 층은 스페이서 층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  5. 제 1 항에 있어서,
    제 1 물질은 실리콘을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  6. 제 5 항에 있어서,
    제 2 물질은 n타입 도펀트를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원.
  7. 제 6 항에 있어서,
    적어도 하나의 이중 층내의 적어도 하나의 층은 실리콘을 포함하는 화합물인 것을 특징으로 하는 플라즈마 생성 EUV광원.
  8. 다층 미러 스택을 포함하고, 상기 다층 미러 스택은,
    EUV투명 금속을 포함하는 제 1 층 및 반응성 EUV플라즈마 원료의 화합물을 포함한 EUV흡수물질을 포함하는 제 2 층을 구비한 이중 캐핑층을 갖는 캐핑층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  9. 제 8 항에 있어서,
    반응성 EUV플라즈마 물질은 리튬을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  10. 제 9 항에 있어서,
    상기 화합물은 3-9족 주기번호 5인 금속중 적어도 하나를 포함하는 것을 특 징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  11. 제 10 항에 있어서,
    상기 화합물은 상기 화합물의 산화물을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  12. 제 11 항에 있어서,
    상기 화합물은 LiNbO3를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  13. 제 12 항에 있어서,
    상기 제 1 층은 스페이서층을 포함하고 상기 제 2 층은 이중 캐핑층내에 흡수재층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  14. 제 13 항에 있어서,
    상기 제 2 층은 정상부층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  15. 제 14 항에 있어서,
    상기 이중 캐핑층과 상기 다층 스택의 나머지층 사이에 진공 함유층을 더 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  16. 흡수재층을 형성하는 물질의 제 1 층 및 스페이서층을 형성하는 물질의 제 2 층을 포함하는 다층 미러 스택을 포함하고, 상기 제 1 층은 상기 제 2 과 밀도가 다른 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  17. 제 16 항에 있어서,
    상기 제 1 및 제 2 층의 적어도 하나는 전기 전도성을 개선시키기 위해 도핑되어 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  18. 제 17 항에 있어서,
    상기 물질은 실리콘 화합물인 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  19. 제 18 항에 있어서,
    상기 물질은 Si와 C의 화합물을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  20. 제 19 항에 있어서,
    상기 물질은 SiC를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  21. Mo흡수재층과 이트륨을 포함하는 스페이서층을 구비한 이중 캐핑층을 갖는 다층 미러 스택을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  22. 제 21 항에 있어서,
    상기 스페이서층은 이트륨의 화합물을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  23. 제 21 항에 있어서,
    상기 스페이서층은 이트리아를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  24. 제 23 항에 있어서,
    상기 다층 미러 스택은 상기 이중 캐핑층과 같은 물질을 포함하는 복수의 이중층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  25. 제 24 항에 있어서,
    상기 다층 미러 스택은 상기 이중 캐핑층과 다른 적어도 하나의 물질을 포함하는 복수의 이중층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  26. 제 25 항에 있어서,
    상기 적어도 하나의 물질은 실리콘을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  27. 제 26 항에 있어서,
    상기 다층 미러 스택은 상기 이중 캐핑층과 같은 물질을 포함하는 적어도 하나의 다른 이중 층 및 상기 이중 캐핑층과 다른 적어도 하나의 물질을 포함하는 적어도 하나의 다른 이중층의 조합을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  28. 제 27 항에 있어서,
    이중층내에 제 1 층과 이중층내에 제 2 층 중간에 적어도 하나의 중간 확산 배리어층을 더 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  29. 제 28 항에 있어서,
    상기 중간 확산 배리어층은 플루오라이드 또는 옥사이드를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  30. 제 29 항에 있어서,
    상기 중간 층은 희토류 금속 플루오라이드, 니트라이드 및 옥사이드, 내화 금속 플루오라이드, 니트라이드 및 옥사이드, 기타 니트라이드, 보라이드 및 실리사이드를 포함하는 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  31. 이트륨-지르코늄 합금 또는 이트륨-지르코니아 합금을 포함하는 캐핑층을 포함하는 다층 미러 스택을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  32. 제 31 항에 있어서,
    상기 캐핑층은 금속 흡수재를 포함하는 이중 캐핑층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  33. 제 32 항에 있어서,
    상기 금속 흡수재층은 Ru를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  34. 제 32 항에 있어서,
    이중 캐핑층은 Ru를 포함하는 정상부 코팅층아래에 이트륨-지르코늄 또는 이트륨-지르코니아 층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  35. 제 33 항에 있어서,
    상기 정상부 코팅층은 Ru합금을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  36. 제 33 항에 있어서,
    상기 Ru합금은 Ru-Mo를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  37. 실리콘 옥시니트라이드 확산 배리어층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  38. 제 37 항에 있어서,
    상기 실리콘 옥시니트라이드층은 N으로 SiO2이중층을 도핑함으로써 또는 질 소 환경에서 SiO2이중층을 반응 스퍼터링함으로써 형성되는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  39. 제 38 항에 있어서,
    상기 확산 배리어층은 캐핑층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  40. 제 39 항에 있어서,
    상기 확산 배리어층은 이중 캐핑층의 일부를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  41. 층내에 증착되는 원자의 이동도를 증가시키고 상부 표면 거칠기를 감소시키기 위해 다층 미러 스택 층을 증착하는 동안 기판을 가열하는 단계를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트의 제작방법.
  42. 제 41 항에 있어서,
    상기 가열 단계는 상기 층의 밀도를 증가를 수행할 수 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트의 제작방법.
  43. 흡수 물질의 제 2 화합물을 포함하는 제 1 샌드위칭 흡수재층 및 흡수물질의 제 3 화합물을 포함하는 제 2 샌드위칭 흡수재층의 중간에 흡수물질의 제 1 화합물을 포함하는 샌드위칭된 흡수재층을 포함하는 흡수재층을 구비하는 복수의 이중층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  44. 제 43 항에 있어서,
    상기 흡수물질의 제 2 화합물은 상기 흡수물질의 제 3 화합물과 같은 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  45. 제 44 항에 있어서,
    상기 제 1 화합물과 상기 제 2 화합물의 인터페이스는 상평형에 있고 상기 제 3 화합물을 갖는 상기 제 1 화합물의 인터페이스는 상평형에 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  46. 제 45 항에 있어서,
    상기 제 1 화합물은 상기 제 2 화합물이나 제 3 화합물보다 상기 제 1 화합물의 흡수재 특성에 관여하는 보다 높은 백분율의 흡수재 물질 성분을 함유하고 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  47. 제 46 항에 있어서,
    상기 제 1 화합물은 제 1 금속 실리사이드이고 상기 제 2 및 제 3 화합물의 적어도 하나는 제 2 금속 실리사이드인 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  48. 제 47 항에 있어서,
    상기 제 1 화합물은 Mo5Si3이고 상기 제 2 및 제 3 화합물은 MoSi2인 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  49. 제 48 항에 있어서,
    상기 이중층은 상기 제 2 및 제 3 물질을 갖는 인터페이스가 상평형에 있는 물질을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  50. 각각이 스페이서 물질 합금을 포함하는 스페이서층과 흡수재 물질 합금을 포함하는 흡수재층을 구비하는 복수의 이중층을 갖는 다층 미러 스택을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  51. 제 50 항에 있어서,
    상기 중간 배리어 층은 각각의 스페이서층과 흡수재층사이에 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  52. 제 51 항에 있어서,
    상기 스페이서 물질 합금은 Y-Zr을 포함하고 상기 흡수재 물질층은 Mo-Ru를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  53. 제 52 항에 있어서,
    중간 배리어층은 보라이드, 니트라이드 및 카바이드를 포함하는 군으로부터 선택된 물질을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  54. 제 53 항에 있어서,
    이중 캐핑층은 상기 스페이서층 및 상기 흡수재층과 같은 물질을 포함하고 상기 정상부층으로는 스페이서층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  55. 제 54 항에 있어서,
    상기 배리어층은 비정질 물질을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  56. 각각이 스페이서 물질 합금을 포함하는 스페이서층과 흡수재 물질 합금을 포 함하는 흡수재층을 포함하는 복수의 이중층을 포함하는 다층 미러 스택; 및
    니트라이드, 보라이드 및 카바이드를 포함하는 군으로부터 선택된 물질을 포함하는 정상 확산 배리어층을 포함하고,
    상기 정상 확산 배리어 층은 상기 흡수재 물질 합금의 정상부상에 있는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  57. 복수의 이중 층 및 리튬 확산 배리어층과 할로겐 에칭 배리어층을 포함하는 캐핑층을 포함하는 다층 미러 스택을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  58. 제 57 항에 있어서,
    상기 캐핑층은 금속 함유 불소를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  59. 제 58 항에 있어서,
    상기 배리어층은 MgF2 또는 LiF를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사기 엘리먼트.
  60. 제 1 흡수재 층물질을 포함하는 흡수재층위에 있는 제 1 스페이서층을 구비 한 스페이서층을 갖는 제 1 이중 캐핑층, 및 제 2 스페이서층 물질을 포함하는 스페이서층위에 있는 제 2 스페이서층 물질 포함의 스페이서층을 구비한 제 2 이중 캐핑층이 있는 듀얼 이중 캐핑층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  61. 제 60 항에 있어서,
    상기 제 1 흡수재 물질은 상기 제 2 흡수재 물질과 같고 상기 제 1 스페이서 물질은 상기 제 2 스페이서 물질과 다른 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  62. 제 61 항에 있어서,
    상기 듀얼 이중 캐핑층은 상기 듀얼 이중 캐핑층아래에 있는 듀얼 이중 스택 층을 형성하기 위해 실질적으로 전체 반사 엘리먼트를 통해 반복되는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  63. 제 62 항에 있어서,
    본래의 듀얼 이중 캐핑층을 포함하는 상부 듀얼 이중 캐핑층 또는 상기 듀얼 이중 캐핑층을 현재 형성하는 바로 상부의 듀얼 이중 스택층의 열화시에 듀얼 이중 캐핑층을 형성하는 바로 아래에 있는 듀얼 이중 스택층을 더 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  64. 제 63 항에 있어서,
    상기 제 1 스페이서층은 이트륨을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  65. 제 64 항에 있어서,
    상기 제 1 스페이서층은 이트륨을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  66. 제 65 항에 있어서,
    상기 제 1 및 제 2 흡수재 물질은 Mo를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  67. 제 66 항에 있어서,
    상기 제 2 스페이서 물질은 Si를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  68. 제 1 스페이서층 물질을 포함하는 스페이서층위에 있는 제 1 흡수재 층 물질을 포함하는 흡수재를 포함하는 스페이서층을 포함하는 이중 캐핑층;
    상기 이중 캐핑층아래의 제 2 흡수재 물질을 포함하는 제 2 흡수재층 및 제 2 스페이서 물질을 포함하는 스페이서층을 포함하는 복수의 규칙적인 이중 스택층; 및
    상기 복수의 이중 스택층사이에서 분산된 상기 제 1 흡수물질 및 상기 제 1 스페이서 물질을 포함하는 분산된 이중 스택층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  69. 제 68 항에 있어서,
    상기 분산된 이중 스택층은 주기적으로 분산되는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  70. 제 69 항에 있어서,
    상기 복수의 규칙적인 스택 층은 중간 혼합 경계층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  71. 제 70 항에 있어서,
    상기 복수의 규칙적인 스택 층은 중간 혼합 경계층을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  72. 제 71 항에 있어서,
    상기 제 1 흡수재 물질은 Mo를 포함하고 상기 제 1 스페이서 물질은 Y를 포 함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  73. 제 72 항에 있어서,
    상기 제 2 흡수재 물질은 Mo를 포함하고 상기 제 2 스페이서 물질은 실리콘 화합물을 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  74. 제 73 항에 있어서,
    상기 실리콘 화합물은 SiC인 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트.
  75. 제 1 물질을 포함하는 다층 스택내에 적어도 하나의 이중 층을 포함하는 다층 스택을 제공하고 상기 제 1 물질을 제 2 물질로 도핑하는 단계를 포함하는 것을 특징으로 하는 플라즈마 생성 EUV광원 반사 엘리먼트의 형성 방법.
KR1020067020110A 2004-03-10 2005-02-24 Euv광원 광소자 KR20070006805A (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US10/798,740 US7217940B2 (en) 2003-04-08 2004-03-10 Collector for EUV light source
US10/798,740 2004-03-10
US10/803,526 2004-03-17
US10/803,526 US7087914B2 (en) 2004-03-17 2004-03-17 High repetition rate laser produced plasma EUV light source
US10/900,839 2004-07-27
US10/900,839 US7164144B2 (en) 2004-03-10 2004-07-27 EUV light source
US10/979,945 US8075732B2 (en) 2004-11-01 2004-11-01 EUV collector debris management
US10/979,945 2004-11-01
US11/021,261 2004-12-22
US11/021,261 US7193228B2 (en) 2004-03-10 2004-12-22 EUV light source optical elements

Publications (1)

Publication Number Publication Date
KR20070006805A true KR20070006805A (ko) 2007-01-11

Family

ID=35056666

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067020110A KR20070006805A (ko) 2004-03-10 2005-02-24 Euv광원 광소자

Country Status (6)

Country Link
US (2) US7193228B2 (ko)
EP (1) EP1723472A4 (ko)
JP (1) JP2007528608A (ko)
KR (1) KR20070006805A (ko)
TW (1) TWI286871B (ko)
WO (1) WO2005091887A2 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
DE10150874A1 (de) * 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
JP2005235959A (ja) * 2004-02-18 2005-09-02 Canon Inc 光発生装置及び露光装置
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
DE102004062289B4 (de) * 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
JP4703354B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
JP4703353B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102006006283B4 (de) * 2006-02-10 2015-05-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
WO2008043577A1 (en) * 2006-10-13 2008-04-17 Media Lario S.R.L. Coated mirrors and their fabication
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
EP1965229A3 (en) * 2007-02-28 2008-12-10 Corning Incorporated Engineered fluoride-coated elements for laser systems
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
WO2010004482A1 (en) * 2008-07-07 2010-01-14 Philips Intellectual Property & Standards Gmbh Extreme uv radiation reflecting element comprising a sputter-resistant material
DE102008040265A1 (de) 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
JP5449358B2 (ja) * 2008-08-21 2014-03-19 エーエスエムエル ホールディング エヌ.ブイ. レチクル、リソグラフィ装置、およびレチクルを生成する方法
US7641349B1 (en) 2008-09-22 2010-01-05 Cymer, Inc. Systems and methods for collector mirror temperature control using direct contact heat transfer
US8284815B2 (en) * 2008-10-21 2012-10-09 Cymer, Inc. Very high power laser chamber optical improvements
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
WO2010124910A1 (en) * 2009-04-27 2010-11-04 Asml Netherlands B.V. Lithographic apparatus and detector apparatus
US8050380B2 (en) * 2009-05-05 2011-11-01 Media Lario, S.R.L. Zone-optimized mirrors and optical systems using same
US7993937B2 (en) * 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
CN102621815B (zh) 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
JP2012222349A (ja) * 2011-04-05 2012-11-12 Asml Netherlands Bv 多層ミラーおよびリソグラフィ装置
JP5951010B2 (ja) * 2011-06-15 2016-07-13 エーエスエムエル ネザーランズ ビー.ブイ. 多層ミラー、多層ミラーを生成する方法およびリソグラフィ装置
DE102011083461A1 (de) * 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
DE102012203633A1 (de) 2012-03-08 2013-09-12 Carl Zeiss Smt Gmbh Spiegel für den EUV-Wellenlängenbereich, Herstellungsverfahren für einen solchen Spiegel und Projektionsbelichtungsanlage mit einem solchen Spiegel
DE102012207141A1 (de) * 2012-04-27 2013-10-31 Carl Zeiss Laser Optics Gmbh Verfahren zur Reparatur von optischen Elementen sowie optisches Element
US10185234B2 (en) 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
WO2014124769A1 (en) * 2013-02-15 2014-08-21 Asml Netherlands B.V. Radiation source-collector and method for manufacture
US9612521B2 (en) * 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
KR20170027862A (ko) * 2013-05-09 2017-03-10 가부시키가이샤 니콘 광학 소자, 투영 광학계, 노광 장치 및 디바이스 제조 방법
DE102013107192A1 (de) 2013-07-08 2015-01-08 Carl Zeiss Laser Optics Gmbh Reflektives optisches Element für streifenden Einfall im EUV-Wellenlängenbereich
DE102013215541A1 (de) * 2013-08-07 2015-02-12 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
FR3010074B1 (fr) * 2013-09-05 2019-08-02 Saint-Gobain Glass France Procede de fabrication d'un materiau comprenant un substrat muni d'une couche fonctionnelle a base d'oxyde d'etain et d'indium
DE102013221550A1 (de) * 2013-10-23 2015-04-23 Carl Zeiss Smt Gmbh Vielschichtstruktur für EUV-Spiegel
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
WO2017004351A1 (en) * 2015-06-30 2017-01-05 Jaiswal Supriya Coatings for extreme ultraviolet and soft x-ray optics
WO2019077736A1 (ja) * 2017-10-20 2019-04-25 ギガフォトン株式会社 極端紫外光用ミラー及び極端紫外光生成装置
WO2021008856A1 (en) * 2019-07-16 2021-01-21 Asml Netherlands B.V. Oxygen-loss resistant top coating for optical elements
KR20210016142A (ko) 2019-07-31 2021-02-15 삼성전자주식회사 Euv 레티클 검사 방법, 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
US20210057864A1 (en) * 2019-08-19 2021-02-25 Iradion Laser, Inc. Enhanced waveguide surface in gas lasers
DE102019212736A1 (de) * 2019-08-26 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element zur Reflexion von EUV-Strahlung und EUV-Lithographiesystem
US20230400716A1 (en) * 2022-06-13 2023-12-14 HyperLight Corporation Diffusion barrier layer in lithium niobate-containing photonic devices

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3746870A (en) 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US3969628A (en) 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US3946332A (en) 1974-06-13 1976-03-23 Samis Michael A High power density continuous wave plasma glow jet laser system
US3961197A (en) 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4143278A (en) * 1977-05-16 1979-03-06 Geo. Koch Sons, Inc. Radiation cure reactor
US4162160A (en) 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4143275A (en) 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4203393A (en) 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
JPS5756668A (en) 1980-09-18 1982-04-05 Nissan Motor Co Ltd Plasma igniter
US4364342A (en) 1980-10-01 1982-12-21 Ford Motor Company Ignition system employing plasma spray
USRE34806E (en) 1980-11-25 1994-12-13 Celestech, Inc. Magnetoplasmadynamic processor, applications thereof and methods
JPS5946105B2 (ja) * 1981-10-27 1984-11-10 日本電信電話株式会社 バイポ−ラ型トランジスタ装置及びその製法
US4538291A (en) 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4536884A (en) 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4504964A (en) 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4633492A (en) 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4618971A (en) 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4507588A (en) 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
DE3332711A1 (de) 1983-09-10 1985-03-28 Fa. Carl Zeiss, 7920 Heidenheim Vorrichtung zur erzeugung einer plasmaquelle mit hoher strahlungsintensitaet im roentgenbereich
JPS60175351A (ja) 1984-02-14 1985-09-09 Nippon Telegr & Teleph Corp <Ntt> X線発生装置およびx線露光法
US4561406A (en) 1984-05-25 1985-12-31 Combustion Electromagnetics, Inc. Winged reentrant electromagnetic combustion chamber
US4837794A (en) 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4626193A (en) 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
US4774914A (en) 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
CA1239487A (en) 1985-10-03 1988-07-19 National Research Council Of Canada Multiple vacuum arc derived plasma pinch x-ray source
CA1239486A (en) 1985-10-03 1988-07-19 Rajendra P. Gupta Gas discharge derived annular plasma pinch x-ray source
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US4928020A (en) 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
DE3927089C1 (ko) 1989-08-17 1991-04-25 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5102776A (en) 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5259593A (en) 1990-08-30 1993-11-09 University Of Southern California Apparatus for droplet stream manufacturing
US5171360A (en) 1990-08-30 1992-12-15 University Of Southern California Method for droplet stream manufacturing
US5226948A (en) 1990-08-30 1993-07-13 University Of Southern California Method and apparatus for droplet stream manufacturing
US5175755A (en) 1990-10-31 1992-12-29 X-Ray Optical System, Inc. Use of a kumakhov lens for x-ray lithography
US5126638A (en) 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
JPH0816720B2 (ja) 1992-04-21 1996-02-21 日本航空電子工業株式会社 軟x線多層膜反射鏡
US5709745A (en) * 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
US5411224A (en) 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5504785A (en) * 1993-05-28 1996-04-02 Tv/Com Technologies, Inc. Digital receiver for variable symbol rate communications
US5313481A (en) 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5448580A (en) 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5521031A (en) * 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
US5504795A (en) 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
AU4768596A (en) 1995-02-17 1996-09-04 Advanced Pulse Power Technologies, Inc. Pulse power generating circuit with energy recovery
US5894985A (en) 1995-09-25 1999-04-20 Rapid Analysis Development Company Jet soldering system and method
US5894980A (en) 1995-09-25 1999-04-20 Rapid Analysis Development Comapny Jet soldering system and method
US6186192B1 (en) 1995-09-25 2001-02-13 Rapid Analysis And Development Company Jet soldering system and method
US5938102A (en) 1995-09-25 1999-08-17 Muntz; Eric Phillip High speed jet soldering system
US6276589B1 (en) 1995-09-25 2001-08-21 Speedline Technologies, Inc. Jet soldering system and method
US5861321A (en) * 1995-11-21 1999-01-19 Texas Instruments Incorporated Method for doping epitaxial layers using doped substrate material
US5830336A (en) 1995-12-05 1998-11-03 Minnesota Mining And Manufacturing Company Sputtering of lithium
US6224180B1 (en) 1997-02-21 2001-05-01 Gerald Pham-Van-Diep High speed jet soldering system
US5963616A (en) 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
JP3385898B2 (ja) 1997-03-24 2003-03-10 安藤電気株式会社 可変波長半導体レーザ光源
US5936988A (en) 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US6172324B1 (en) 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US5866871A (en) 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6452199B1 (en) 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6744060B2 (en) 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US6815700B2 (en) 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
US6566667B1 (en) 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6566668B2 (en) 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6586757B2 (en) 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6064072A (en) 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
US5763930A (en) 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
US6119086A (en) * 1998-04-28 2000-09-12 International Business Machines Corporation Speech coding via speech recognition and synthesis based on pre-enrolled phonetic tokens
US6580517B2 (en) 2000-03-01 2003-06-17 Lambda Physik Ag Absolute wavelength calibration of lithography laser using multiple element or tandem see through hollow cathode lamp
JP2000091096A (ja) 1998-09-14 2000-03-31 Nikon Corp X線発生装置
US6285743B1 (en) 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
US6031598A (en) 1998-09-25 2000-02-29 Euv Llc Extreme ultraviolet lithography machine
US6307913B1 (en) 1998-10-27 2001-10-23 Jmar Research, Inc. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6746870B1 (en) * 1999-07-23 2004-06-08 The Regents Of The University Of California DNA recombination in eukaryotic cells by the bacteriophage PHIC31 recombination system
US6317448B1 (en) 1999-09-23 2001-11-13 Cymer, Inc. Bandwidth estimating technique for narrow band laser
JP2001110709A (ja) * 1999-10-08 2001-04-20 Nikon Corp 多層膜反射鏡及び露光装置ならびに集積回路の製造方法。
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6377651B1 (en) 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
TWI246872B (en) 1999-12-17 2006-01-01 Asml Netherlands Bv Radiation source for use in lithographic projection apparatus
US6493423B1 (en) 1999-12-24 2002-12-10 Koninklijke Philips Electronics N.V. Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
US6195272B1 (en) 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
US7261957B2 (en) * 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US6647086B2 (en) 2000-05-19 2003-11-11 Canon Kabushiki Kaisha X-ray exposure apparatus
US6562099B2 (en) 2000-05-22 2003-05-13 The Regents Of The University Of California High-speed fabrication of highly uniform metallic microspheres
US6520402B2 (en) 2000-05-22 2003-02-18 The Regents Of The University Of California High-speed direct writing with metallic microspheres
US6491737B2 (en) 2000-05-22 2002-12-10 The Regents Of The University Of California High-speed fabrication of highly uniform ultra-small metallic microspheres
US6904073B2 (en) 2001-01-29 2005-06-07 Cymer, Inc. High power deep ultraviolet laser with long life optics
JP2002006096A (ja) * 2000-06-23 2002-01-09 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法
US6576912B2 (en) 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
JP2004525506A (ja) * 2001-02-05 2004-08-19 クァンティスクリプト・インコーポレーテッド X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
US6583068B2 (en) * 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US6804327B2 (en) * 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US6396900B1 (en) 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US7053988B2 (en) * 2001-05-22 2006-05-30 Carl Zeiss Smt Ag. Optically polarizing retardation arrangement, and microlithography projection exposure machine
KR100446050B1 (ko) * 2001-06-14 2004-08-30 마츠시다 덴코 가부시키가이샤 헤어드라이어
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
DE10151080C1 (de) 2001-10-10 2002-12-05 Xtreme Tech Gmbh Einrichtung und Verfahren zum Erzeugen von extrem ultravioletter (EUV-)Strahlung auf Basis einer Gasentladung
US6624441B2 (en) * 2002-02-07 2003-09-23 Eagle-Picher Technologies, Llc Homoepitaxial layers of p-type zinc oxide and the fabrication thereof
DE10208705B4 (de) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
US6867420B2 (en) * 2002-06-03 2005-03-15 The Regents Of The University Of California Solid-state detector and optical system for microchip analyzers
US6806006B2 (en) * 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7129010B2 (en) * 2002-08-02 2006-10-31 Schott Ag Substrates for in particular microlithography
US6986971B2 (en) * 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US7691279B2 (en) * 2003-03-27 2010-04-06 Hoya Corporation Method of producing a glass substrate for a mask blank and method of producing a mask blank
JP4219718B2 (ja) * 2003-03-28 2009-02-04 Hoya株式会社 Euvマスクブランクス用ガラス基板の製造方法及びeuvマスクブランクスの製造方法
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US6855984B1 (en) * 2003-10-30 2005-02-15 Texas Instruments Incorporated Process to reduce gate edge drain leakage in semiconductor devices
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7164144B2 (en) * 2004-03-10 2007-01-16 Cymer Inc. EUV light source
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source

Also Published As

Publication number Publication date
WO2005091887A3 (en) 2005-12-29
EP1723472A4 (en) 2010-08-18
WO2005091887A2 (en) 2005-10-06
TW200536218A (en) 2005-11-01
US20070170378A1 (en) 2007-07-26
EP1723472A2 (en) 2006-11-22
JP2007528608A (ja) 2007-10-11
US7193228B2 (en) 2007-03-20
TWI286871B (en) 2007-09-11
US20050199830A1 (en) 2005-09-15

Similar Documents

Publication Publication Date Title
KR20070006805A (ko) Euv광원 광소자
US20240004283A1 (en) Membrane for euv lithography
CN106471603B (zh) 具有多层堆叠的极紫外反射元件及其制造方法
JP2023052147A (ja) 合金吸収体を有する極紫外線マスクブランク、及びその製造方法
JP4338977B2 (ja) Euvl用多層構造の反射鏡、およびeuvl用多層構造の反射鏡の製造方法
TW201606335A (zh) 具有非晶層之極紫外線反射元件及其製造方法
TWI609605B (zh) 嚴峻環境光學元件保護技術
TW202008073A (zh) 極紫外光遮罩吸收劑材料
TW202034062A (zh) 極紫外線遮罩吸收劑材料
TW202215145A (zh) 極紫外光遮罩吸收材料
TW202141167A (zh) 極紫外線遮罩吸收劑材料
JP2883100B2 (ja) 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
TWI835896B (zh) 具有後側塗層的極紫外線掩模
TW202130838A (zh) 極紫外線遮罩吸收劑材料
TW202140857A (zh) 極紫外光遮罩吸收材料
TW201734651A (zh) 多層反射器,製造多層反射器之方法及微影裝置
TW202131087A (zh) 極紫外光遮罩吸收劑材料
US20040101710A1 (en) Oxidation preventitive capping layer for deep ultra-violet and soft x-ray multilayers
TWI836073B (zh) 極紫外光遮罩坯體及其製造方法
TW202309645A (zh) 多層極紫外反射器材料
TW202202641A (zh) 極紫外線遮罩吸收劑材料
TW202303267A (zh) 多層極紫外線反射器
TW202240278A (zh) 極紫外遮罩吸收劑材料
KR20220032055A (ko) 광학 요소용 산소 손실 내성 상부 코팅
TW202303259A (zh) 極紫外光遮罩吸收材料

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application