JP2007524252A - Cmosシリサイド金属ゲート集積化 - Google Patents

Cmosシリサイド金属ゲート集積化 Download PDF

Info

Publication number
JP2007524252A
JP2007524252A JP2007500919A JP2007500919A JP2007524252A JP 2007524252 A JP2007524252 A JP 2007524252A JP 2007500919 A JP2007500919 A JP 2007500919A JP 2007500919 A JP2007500919 A JP 2007500919A JP 2007524252 A JP2007524252 A JP 2007524252A
Authority
JP
Japan
Prior art keywords
gate
metal
poly
silicide
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007500919A
Other languages
English (en)
Other versions
JP2007524252A5 (ja
JP4917012B2 (ja
Inventor
アモス、リッキー、エス
ボイド、ダイアン、シー
カブラル、セロ、ジュニア
カプラン、リチャード、ディー
ケンジェルスキ、ジャクブ、ティー
ケーユー、ヴィクター
リー、ウヒョン
リー、イン
モクタ、アンダ、シー
ナラヤナン、ビジェイ
ズテーゲン、アン、エル
スレンドラ、マエスワレン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007524252A publication Critical patent/JP2007524252A/ja
Publication of JP2007524252A5 publication Critical patent/JP2007524252A5/ja
Application granted granted Critical
Publication of JP4917012B2 publication Critical patent/JP4917012B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本発明は、ゲート誘電体の上に複数のシリサイド金属ゲートが作製される相補型金属酸化物半導体集積化プロセスを提供する。本発明の集積化方式を用いて形成される各シリサイド金属ゲートは、シリサイド金属ゲートの寸法に関わりなく、同じシリサイド金属相および実質的に同じ高さを有する。本発明は、半導体構造物の表面全体にわたってポリSiゲート高さが実質的に同じであるシリサイド接点を有するCMOS構造物を形成するさまざまな方法も提供する。

Description

本発明は、半導体基板上の回路デバイスの製作に関する。より詳しくは、本発明は、実質的に同じ高さを有するシリサイド金属ゲートを形成するための相補型金属酸化物半導体(CMOS)トランジスタ製作方法に関する。本発明は、ポリシリコンゲート導体の高さに影響を及ぼすことなく、シリサイド化ソース/ドレイン領域を形成する方法にも関する。
従来技術全体を通じて、CMOSトランジスタのための通常のプロセスフローでは、金属ゲート集積化を実現することは難しいことが証明された。ほとんどの金属ゲート材料は、ソース/ドレイン(S/D)接合活性化アニールに必要な高温プロセスの間にゲート誘電体と相互作用する。金属ゲートスタックが高温アニールを受けないようにする必要から、ゲートスタックを最後に作製し、後続のプロセスの間500℃より低温に保つ「ゲート最後」または「置換ゲート」プロセスが開発された。従来技術の置換ゲートプロセスによって、金属ゲート用の材料選択肢の数は増加するが、プロセスの複雑さおよびコストは増加する。
2002年11月20日出願の本出願人の米国特許出願第10/300,165号には、通常のCMOSトランジスタプロセスフロー中で金属ゲートシリサイドを形成するための「置換ゲート」プロセスを用いない手法が記載されている。この代替手法では、余分なプロセス工程の数を最小限にし、それによって複雑さを最小限に抑え、コストを低くしている。
「置換ゲート」プロセスを回避すると、非常に有利である。‘165号出願に記載される手法の第二の利点は、標準的な物理的気相堆積法によってシリサイド金属を堆積することができることである。‘165号出願では、ゲート誘電体上に金属を直接堆積しないので、化学的気相堆積(CVD)法も原子層堆積(ALD)法もまったく必要なく、そのため、プラズマの使用を排除することによって、ゲート誘電体の損傷は最小限になる。別の利点は、シリサイド金属ゲート形成後のゲート誘電体の不動態化が容易なことある。水素はシリサイドを通って容易に拡散し、通常の炉アニールプロセスでの不動態化を可能にする。
通常のCMOSプロセスフロー中で金属ゲートシリサイドを形成することは、いくつかの工程の追加によって実現することができる。以下は、そのようなプロセスフローの例示である。通常、ソース/ドレイン領域のシリサイド化の間、ゲート多結晶Si(すなわちポリSi)上には、窒化物または酸化物キャップ層が必要である。酸化物または窒化物キャップは、ソース/ドレインシリサイド化の間、ゲートポリSi領域のシリサイド化を防ぐ。ポリSiキャップを組み込むことを除けば、ソース/ドレイン領域上のシリサイド接点の形成全体を通じて、他のプロセス工程はすべて通常のものである。
シリサイドソース/ドレイン接点形成後、通常、CMOS構造物上に窒化物/酸化物二層を堆積し、平坦化し、これによって、ソース/ドレインシリサイドおよびトレンチ・アイソレーション領域を被覆する。通常、化学機械研摩(CMP)プロセスを利用して、平坦化を実行する。CMPを実行し、これによって、ポリSiゲートスタック上のキャップを除去し、ポリSiを平坦化表面と接触させる。
この時点で、通常の自己整合シリサイド(すなわちサリサイド)プロセスを用いてシリサイド金属ゲートを形成することができる。この後、通常のCMOS集積化フローに従って複数のバックエンド相互配線層を形成する。
上記で説明したシリサイド金属ゲートプロセスの不利な点は、CMP後、ポリSiゲート高さ(厚さ)がゲートの長さによって変化することである。この変化は、(1)ゲートCMPの間のダイ内、ウエハ内、ウエハ間およびロット間の非一様性、および(2)シャロー・トレンチ・アイソレーション(STI)のCMPおよびその後の清掃の間に発生するSTIとシリコン表面(活性区域)との間に生じるトポグラフィーに起因する。
STIのCMPは、STIからSiへのステップ高さを最小限にするように最適化されているが、そのようなステップ高さは依然存在し、デバイスのパターン密度によって変化する。そのようなステップ高さのあらゆる変化から、後のゲートCMPの間にゲート高さの変化が生じる。種々のパターン密度を有する構成要素に、ゲートCMP自体が、ゲート高さのまた別の非一様性および変化を付け加える。両方の組み合わせによって、所定のウエハ中に最大400Åのゲート高さの変化が生じることがある。そのような変化があるときにシリサイド金属を堆積すると、異なるゲートではシリサイド形成で消費されるポリSiの量が異なるので、異なる相のシリサイドが形成されることがある。これらの異なる相によって、異なる仕事関数(したがってトランジスタのターンオン電圧)および抵抗(デバイス性能)の変化が生じることがある。
上記で述べた欠点を考慮すると、ゲート寸法に関らず実質的に同じ高さを有するシリサイド金属ゲートのポリSiの領域上で形成を可能にする新しい、改善されたCMOSシリサイド金属ゲート集積化方式を提供することが求められている。
本発明の第一の実施態様では、形成されるシリサイド金属ゲート相の変化を生じさせるポリSiゲートスタック高さの変化という欠点のないCMOSシリサイド金属ゲート集積化手法が提供される。本発明の集積化手法は、プロセスの複雑さ最小限に保ち、それによって、CMOSトランジスタの製造コストを増加させない。
本発明の第一の実施態様では、ポリSiゲートの上の厚い誘電体キャップ(約20nm以上、より好ましくは50nm以上の厚さを有する)を利用することによって、上記の目的を実現する。層間誘電体の堆積および平坦化の後、反応性イオンエッチング(RIE)によって、層間誘電体およびポリSiゲートに対して誘電体キャップを選択的に除去する。ポリSiゲートはエッチングされないので、ゲート寸法(長さ)に関わらずウエハ全体で実質的に同じ厚さ(すなわち、堆積したときの厚さ)を有する。ポリSiを消費して金属ゲートシリサイドを形成した後、本発明の集積化方式によって提供される最初の一様なポリSiに起因して、ウエハ全体のシリサイド高さおよびシリサイド相は実質的に同じになる。断面画像化を用いて本発明の第一の実施態様の集積化方式によって形成さる金属ゲートシリサイドの高さを決定することができる。
従来技術の集積化方式に対する本発明の集積化方式の一つの大きな利点は、シリサイド形成のための金属ポリSiの反応が起こり、ゲート寸法に関わらず同じ量のポリSiを消費し、非一様なまたは不完全な相形成を防ぐという事実である。一例として、従来技術集積化方式では、寸法によって、あるゲートは他のゲートの二倍のポリSiを有することがあり得る。この場合、多めのポリSiが配置されている厚めのゲート上にCoSiを形成しようとするとCoSiが形成されるが、少なめのポリSiが配置されている薄めのゲート上にはCoSi相が形成されてしまう。CoSi相は、CoSiと比較すると5〜6倍高い比抵抗を有する。このため、一様でない性能が生じる。
全体として、本発明の第一の実施態様は、以下の工程を含む。
半導体基板の上に配置される複数のポリSiゲートを準備する工程であって、各ポリSiゲートはその上部表面に配置される誘電体キャップを備える工程、
半導体基板中にシリサイド化ソース/ドレイン領域を形成する工程、
半導体基板上に平坦化誘電体スタックを形成する工程、
エッチングプロセスを実行して各ポリSiゲートの上部表面を露出する工程、および
各ポリSiゲートを金属シリサイドゲートに変換するサリサイドプロセスを実行する工程。この実施態様では、同じポリSiイオン注入条件の場合、各金属シリサイドゲートは、実質的に同じ高さを有し、同じシリサイド相で構成され、実質的に同じ仕事関数を有する。
本発明の別の様相は、上記に述べた本発明の集積化方式を用いて製造されるCMOS構造物に関する。全体として、本発明のCMO構造物は、以下を含む。
ゲート誘電体の表面の上に配置される複数のシリサイド化金属ゲートであって、同じポリSiイオン注入条件の場合、シリサイド金属ゲートのそれぞれは、シリサイド金属ゲートの寸法に関らず、同じシリサイド相で構成され、実質的に同じ高さを有し、実質的に同じ仕事関数を有する。
本発明の第二の実施態様は、シリサイド化ソース/ドレイン領域(すなわち接点)を有するCMOS構造物を形成し、それによって、ポリシリコンゲートの高さは、半導体構造物の全表面にわたって実質的に同じである方法に関する。本発明の第二の実施態様は、以下の工程を含む。
半導体基板の上に配置される複数のポリSiゲートを備える構造物を準備する工程、
ポリSiゲートおよび半導体基板を備える構造物の上にオプションのキャッピング層とともにシリサイド金属を堆積する工程、
各ポリSiゲートの間でリフローさせることによって、凹形の材料を形成する工程、
ポリSiゲートのそれぞれの上からシリサイド金属およびオプションのキャッピング層を除去する工程、
形成された凹形の材料をリフローによって除去する工程、および
構造物をアニールし、これによって、ポリSiゲートのそれぞれの間にシリサイド接点領域を形成する工程。
本発明の第三の実施態様は、シリサイド化ソース/ドレイン領域を有するCMOS構造物を形成し、それによって、半導体構造物の全表面にわたってポリシリコンゲートの高さを実質的に同じにする方法に関する。本発明の第三の実施態様は、以下の工程を含む。
半導体基板の上に配置される複数のポリSiゲートを備える構造物を準備する工程、
ポリSiゲートおよび半導体基板を備える構造物の上に金属含有層およびキャッピング層を含む二層層を形成する工程、
半導体基板上に平坦化誘電体を形成する工程、
各ポリSiゲートの上の金属含有層を露出させる工程、
各ポリSiゲートの上から金属含有層を除去する工程、および
構造物をアニールし、これによって、ポリSiゲートのそれぞれの間にシリサイド接点領域を形成する工程。
上記で説明した第二の実施態様または第三の実施態様のどちらにおいても、同じポリSi予備ドーピング条件の場合、実質的に同じ高さ、相および仕事関数を有する金属シリサイドゲートを形成することができる。
本発明の第二の実施態様および第三の実施態様は、以下を含む本発明の代替CMOS構造物を提供する。
ゲート誘電体の表面の上に配置される複数のポリSiゲートであって、ポリSiゲートのそれぞれは、ポリシリコンゲートの寸法に関らず実質的に同じ高さを有する複数のポリSiゲート、および各ポリSiゲートの間に配置されるシリサイド化接点。
本発明では、シリサイド接点(またはシリサイドソース/ドレイン領域)は、各ポリSiまたはシリサイド金属ゲートの垂直側壁上に配置されるスペーサのエッジに自己整合される。
次に、本出願の添付図面を参照して、本発明をより詳細に説明する。本発明は、一つの実施態様では、各ゲート寸法に関わらず、同じシリサイド相で構成され、実質的に同じ仕事関数および高さを有するシリサイド金属ゲートを形成することができるCMOSシリサイド金属ゲート集積化プロセスを提供する。添付図面は、実寸に比例して描かれておらず、同じおよび/または対応する要素は、同じ参照番号で指定される。
図1〜10を参照する。図1〜10は、本発明の第一の実施態様のさまざまな段階の間のCMOS構造物の断面図である。図面には二つのポリSiゲート(すなわちpFETおよびnFETトランジスタを有するCMOSトランジスタ構造物)が存在するように示しているが、本発明は、その数のポリSiゲートに限定されない。代わりに、本集積化プロセスは、任意の数のポリSiゲートの場合に機能する。従って、単一の半導体構造物全体にわたって、複数個のポリSiゲートが存在してよい。
図1は、本発明の第一の実施態様で使用される初期構造物を示す。詳しくは、図1に示した初期構造物は、内部に形成されるアイソレーション領域12を有する半導体基板10を備える。初期構造物は、半導体基板10の上ならびに分離領域12の上に配置されるゲート誘電体14を備える。これは、誘電体が高k材料のように堆積される場合に該当するが、誘電体がSiOまたはSiOで一般的であるように成長させられる場合には該当しない。本発明では、各ポリSiゲート16は誘電体キャップ18を有し、これらの両方はゲート誘電体14の選ばれた部分の上に配置される。本明細書中では、各ポリSiゲート16およびその対応する誘電体キャップ18をゲートスタック20と呼ぶ。
図1に示した初期構造物の半導体基板10は、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InPおよびその他のIII族/V族化合物半導体をすべて含むがそれらに限定されない任意の半導体材料を含む。半導体基板10は、Si/SiGe、シリコン・オン・インシュレータ(SOI)またはSiGe・オン・インシュレータ(SGOI)などの層状半導体を含んでよい。本発明のいくつかの実施態様では、半導体基板10は、Si含有半導体材料で構成されることが好ましい。半導体基板10はドーピングされていてもよく、ドーピングされていなくてもよく、あるいは内部にドーピング領域および非ドーピング領域を含んでもよい。
図1で、参照番号11aは第一のドーピング(n‐またはp‐)領域を指し、参照番号11bは第二のドーピング(n‐またはp‐)領域を指す。第一のドーピング領域および第二のドーピング領域は同じであってよく、あるいは異なる導電率を有してもよい。これらのドーピング領域は、「ウェル」として知られる。
半導体基板10中にアイソレーション領域12を形成する。アイソレーション領域12は、図に示したようにトレンチ・アイソレーション領域であってもよく、あるいは電界酸化物アイソレーション領域であってもよい。トレンチ・アイソレーション領域は、当業者に公知の通常のトレンチ・アイソレーション・プロセスを利用して形成される。トレンチ・アイソレーション領域を形成する際には、例えば、リソグラフィー、エッチングおよびトレンチ誘電体によるトレンチの充填を用いてよい。オプションとして、トレンチ充填の前にトレンチ内にライナーを形成してよく、トレンチ充填後に高密度化工程を実行してよい。トレンチ充填に続いて平坦化プロセスを行ってよい。電界酸化物領域は、いわゆるシリコンプロセスの局所酸化を利用して形成してよい。
半導体基板10中にアイソレーション領域12を形成した後、半導体基板10を含む構造物の表面全体の上、およびアイソレーション領域12が堆積された誘電体ならアイソレーション領域12の上に、ゲート誘電体14を形成する。ゲート誘電体14は、例えば、酸化、窒化またはオキシ窒化などの熱成長プロセスによって形成してよい。あるいは、ゲート誘電体14は、例えば、化学的気相堆積(CVD)法、プラズマ支援CVD法、原子層堆積(ALD)法、蒸発法、反応性スパッタリング法、化学的溶液相堆積法およびその他の同様な堆積プロセスなどの堆積プロセスによって形成してよい。ゲート誘電体14は、上記のプロセスの任意の組み合わせを利用して形成してもよい。
ゲート誘電体14は、酸化物、窒化物、オキシ窒化物またはケイ酸塩あるいはそれらの組み合わせを含むが、それらに限定されない絶縁材料で構成される。一つの実施態様では、ゲート誘電体14は、例えば、SiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlOおよびそれらの混合物などの酸化物で構成されることが好ましい。
ゲート誘電体14の物理的な厚さは変化してよいが、一般的には、ゲート誘電体14は約0.5から約10nmの厚さを有し、約0.5から約3nmの厚さがより一般的である。
ゲート誘電体14を形成した後、例えば、物理的気相堆積法、CVD法または蒸発法などの既知の堆積プロセスを利用して、ゲート誘電体14上に多結晶シリコン(すなわちポリSi)のブランケット層を形成する。多結晶シリコンのブランケット層は、ドーピングされていてもよく、あるいはドーピングされていなくてもよい。ドーピングされるなら、多結晶シリコンを形成する際にインサイチュドーピング堆積プロセスを使用してよい。あるいは、ドーピングされたポリSi層は、堆積、イオン注入およびアニーリングによって形成してもよい。ポリSi層のドーピングによって、形成されるシリサイドゲートの仕事関数はシフトする。ドーパントイオンを説明する例は、As、P、B、Sb、Bi、In、Al、Ga、Tlまたはそれらの混合物を含む。本発明のこの時点で堆積される多結晶シリコン層の厚さ(すなわち高さ)は、使用される析出プロセスによって変化してよい。一般的に、多結晶シリコン層は、約20から約180nmの垂直厚さを有し、約40から約150nmの厚さがより一般的である。
多結晶シリコンのブランケット層の堆積後、例えば、物理的気相堆積法または化学的気相堆積法などの堆積プロセスを利用して、多結晶シリコンのブランケット層の上に誘電体キャップ層を形成する。誘電体キャップ層は、酸化物、窒化物、オキシ窒化物またはそれらの任意の組み合わせであってよい。一つの実施態様では、例えばSiなどの窒化物が誘電体キャップ層として使用される。誘電体キャップ層の厚さ、すなわち高さは約20から約180nmであり、約30から約140nmの厚さがより一般的である。
一つの実施態様では、多結晶シリコンのブランケット層と誘電体キャップ層とは、70から180nmの範囲の全高を有する。さらに別の実施態様では、ブランケット多結晶シリコン層と誘電体キャップ層との高さは約120nmであり、通常、70nmポリSiと50nm誘電体キャップとである。
次に、リソグラフィーおよびエッチングによって、ブランケット多結晶シリコン層と誘電キャップ層とをパターン化してパターン化ゲートスタックを提供する。パターン化ゲートスタック20は、同じ寸法(すなわち長さ)を有してもよく、あるいはデバイス性能を改善するために寸法を変えてもよい。図1に示したように、各パターン化ゲートスタック20は、ポリSiゲート16および誘電キャップ18を備える。リソグラフィー工程は、誘電体キャップ層の上面部にフォトレジストを塗布すること、フォトレジストを所望のパターンの放射に露光させることおよび露光させたフォトレジストを通常のレジスト現像液を利用して現像することを含む。次に、一回以上のドライエッチング工程を利用して、フォトレジスト中のパターンを誘電キャップ層および多結晶シリコンのブランケット層に転写する。いくつかの実施態様では、パターンを誘電キャップ層中に転写した後、パターン化フォトレジストを除去してよい。その他の実施態様では、エッチングが完了した後、パターン化フォトレジストを除去する。
パターン化ゲートスタック20を形成する際に本発明で用いることができる適当なドライエッチングプロセスは、反応性イオンエッチング、イオンビームエッチング、プラズマエッチングまたはレーザーアブレーションを含むが、それらに限定されない。通常、使用されるドライエッチングプロセスは、下地ゲート誘電体14に対して選択的であり、それによって、通常、このエッチング工程はゲート誘電体を除去しない。しかし、いくつかの実施態様では、このエッチング工程を用いてゲートスタック20で保護されていないゲート誘電体14の部分を除去してよい。
次に、各パターン化ゲートスタック20の露出された側壁上に、少なくとも一つのスペーサを形成する。この少なくとも一つのスペーサは、酸化物、窒化物、オキシ窒化物またはそれらの任意の組み合わせ、化合物などの絶縁体で構成される。この少なくとも一つのスペーサは、堆積およびエッチングによって形成される。図2は、ゲートスタック20の各露出側壁上に二つのスペーサが形成される本発明の実施態様を示す。詳しくは、図2は、第一の幅を有する第一のスペーサ22と、第二の幅を有する第二のスペーサ24とを備え、第一の幅は第二の幅より狭い構造物を示す。別の実施態様では、二層スペーサ方式を一層スペーサに換え、この場合一層スペーサは、図2に示した第一の幅と第二の幅との和と実質的に等しい幅を有する広いスペーサである。
第一のスペーサと第二のスペーサとを用いるとき、第一のスペーサと第二のスペーサとを異なる絶縁体で構成してよい。例えば、第一のスペーサ22をSiOで構成し、第二のスペーサ24をSiで構成してよい。
スペーサの幅は、ソース/ドレインシリサイド接点(続いて形成される)がゲートスタックのエッジの下に浸入しないように十分に広くなければならない。通常、ソース/ドレインシリサイドは、スペーサが底部で測定して約20から約80nmの幅を有するとき、ゲートスタックのエッジの下に浸入しない。
スペーサ形成後、基板中にソース/ドレイン拡散領域(特に示してはいない)を形成する。イオン注入およびアニーリング工程を利用してソース/ドレイン拡散領域を形成する。アニーリング工程は、前段の注入工程によって注入されたドーパントを活性化するために使用される。イオン注入およびアニーリングの条件は、当業者に公知である。
次に、もしそれ以前に除去されていなければ、ゲート誘電体14を選択除去する化学エッチングプロセスを利用してゲート誘電体14の露出部分を除去する。このエッチング工程は、半導体基板10の上部表面ならびにアイソレーション領域12の上部表面で停止する。ゲート誘電体14の露出部分を除去する際には任意の化学エッチング剤を用いてよいが、一つの実施態様では、希薄なフッ化水素酸(DHF)を用いる。
次に、サリサイドプロセスを用いて、ソース/ドレインシリサイド接点26を形成する。サリサイドプロセスは、ソース/ドレイン拡散領域を備える基板10の露出表面上に金属を堆積する工程、シリサイドを形成する第一のアニーリング工程、用いられているなら、キャッピング層を含むあらゆる未反応金属の選択エッチング工程、および、必要なら、第二のアニーリング工程を実行することを含む。例えば、図3に、ソース/ドレインシリサイド接点26を備える結果として得られる構造物を示す。
半導体基板がシリコンを含まないとき、半導体基板10の露出表面上にシリコンの層(図示していない)を成長させてよく、ソース/ドレインシリサイド接触を形成する際に用いてよい。
ソース/ドレインシリサイド接点を形成する際に用いられる金属は、シリコンと反応して金属シリサイドを形成することができる任意の金属を含む。そのような金属の例は、Ti、Ta、W、Co、Ni、Pt、Pdおよびそれらの合金を含むが、それらに限定されない。一つの実施態様では、Coが好ましい金属である。そのような実施態様では、第二のアニーリング工程が必要である。別の実施態様では、NiまたはPtが好ましい。この実施態様では、通常、第二のアニーリング工程を実行しない。
例えば、スパッタリング法、化学的気相堆積法、蒸発法、化学的溶液相堆積法、めっき法および類似法を含む任意の通常の析出プロセスを用いて金属を堆積してよい。
通常、第一のアニールは第二のアニーリング工程より低い温度で実行される。通常、連続加熱領域またはさまざまな昇温および保温加熱サイクルを用いて、約300℃から約600℃の温度で第一のアニーリング工程を実行する。第一のアニーリング工程は、高抵抗シリサイド相材料を形成してもよく、形成しなくてもよい。より好ましくは、約350℃から約550℃の温度で第一のアニーリング工程を実行する。連続加熱領域またはさまざまな昇温および保温サイクルを用いて、約600℃から約800℃の温度で第二のアニーリング工程を実行する。より好ましくは、約650℃から約750℃の温度で第二のアニーリング工程を実行する。通常、第二のアニールによって、高抵抗シリサイドはより低抵抗のシリサイド相に変換される。
気体雰囲気、例えばHe、Ar、Nまたはフォーミング気体中でサリサイドアニールを実行する。ソース/ドレインシリサイド接点アニーリング工程は、異なる雰囲気を用いてよく、あるいは同じ雰囲気中でアニーリング工程を実行してよい。例えば、両方のアニーリング工程でHeを用いてよく、あるいは第一のアニーリング工程でHeを用い、第二のアニーリング工程でフォーミング気体を用いてよい。
図3に示した構造物を形成した後、第一の誘電体層30および第二の誘電体層32を含む誘電体スタック28を形成し、図4に示す構造物を提供する。第一の誘電体層30はエッチング停止層として使用され、一方、第二の誘電体層32は層間誘電体として使用される。誘電体スタック28の第一の誘電体層および第二の誘電体層は、例えば、酸化物、窒化物およびオキシ窒化物を含む異なる絶縁材料で構成される。
本発明によれば、第一の誘電体層30は、誘電体キャップ18の誘電体と同じ誘電体で構成される。本発明の一つの実施態様では、誘電体スタック28の第一の誘電体層30はSiで構成され、一方、第二の誘電体層はSiOで構成される。図に示したように、誘電体スタック28は、アイソレーション領域12、ゲートスタック20、ならびにソース/ドレインシリサイド接点26を被覆する。
第一の誘電体層30および第二の誘電体32は、同じ堆積プロセスまたは異なる堆積プロセスを利用して形成される。誘電体スタック28の層30および32を形成する際に用いることができる適当な堆積プロセスの例は、化学的気相堆積法、原子層堆積法、物理的気相堆積法、化学的溶液相堆積法、蒸発法およびその他の同様な堆積プロセスを含むが、それらに限定されない。誘電体スタック28の厚さは変化してよいが、ゲートスタック20の高さより高い厚さがなければならない。
誘電体スタック28の形成後、第二の誘電体層32を平坦化し、平坦化誘電体スタック28’を備える構造物を提供する。例えば、図5にそのような構造物を示す。例えば、化学機械研摩または研削を含む通常の平坦化プロセスによって、平坦化誘電体スタック28’を形成してよい。
次に、図6に示すように、反応性イオンエッチバックプロセスを実行し、これによって、第一の誘電体層30および誘電体キャップ18を第二の誘電体層32に対して選択除去する。例えば、第一の誘電体層および誘電体キャップがSiで構成され、第二の誘電体層がSiOで構成されているとき、酸化物に対して窒化物を選択除去する。この反応性イオンエッチバック工程によって、各ゲートスタック20のポリシリコンゲート16が露出される。例えば、図6に、反応性イオンエッチバック工程を実行した後の構造物を示す。反応性イオンエッチバック工程は、ポリシリコンゲート16をエッチングしない点に注意すること。ポリシリコンゲート16はエッチングされていないので、厚さ(すなわち高さ)は、寸法(すなわち長さ)に関わりなく実質的に同じである。
次に、第二のサリサイドプロセスを実行し、ポリシリコンゲート16を消費して金属シリサイドゲートを形成する。以下の諸図面は、二段階アニール工程が必要な実施態様の例を示す。いくつかの実施態様では、サリサイドプロセスは、選択エッチング工程後に完了する。従って、そのような実施態様では第一のアニールによって低抵抗相金属シリサイドゲートが形成されるので、第二のアニールは必要ない。
第二のサリサイドプロセスの第一の工程は、図6に示す構造物の上にブランケットシリサイド金属34を堆積することを含む。例えば、図7に、得られるブランケットシリサイド金属34を含む構造物を示す。ソース/ドレインシリサイド接触形成で用いられる金属を形成する際に上記で言及した堆積プロセスの一つを用いて、シリサイド金属34を堆積してよい。
シリサイド金属34は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金で構成させてよい。一つの実施態様では、シリサイド金属34はCoであり、二工程アニーリングプロセスを使用するとCoSiが生成する。本発明の別の実施態様では、シリサイド金属34はNiまたはPtであり、一段アニーリング工程を使用するとNiSiおよびPtSiが生成する。シリサイド金属厚さは、特定のCMOSデバイスに適切な仕事関数を有するシリサイド相を形成するように選ばれる。例えば、NiSiは4.65eVの仕事関数を有し、最初の多結晶シリコン高さが50nmなら、必要なNiの量は約27nmである。CoSiは4.45eVの仕事関数を有し、最初の多結晶シリコン高さが50nmなら、必要なCoの量は約14nmである。所定のシリサイド金属厚さは多結晶シリコンを消費するのにちょうど必要な量であるが、消費が完了することを確実にするためには約10%過剰の厚さが好ましい。
いくつかの実施態様(示していない)では、シリサイド金属の上にTiNまたはWなどの酸素拡散障壁を形成する。
シリサイド金属34の堆積後、第一のアニールを使用して構造物中に第一のシリサイド相36を形成する。第一のシリサイド相は、金属シリサイドの最も低い比抵抗相を表してもよく、あるいは表さなくてもよい。図8を参照すること。ソース/ドレインシリサイド接触26を形成する際に上記で説明した雰囲気および温度を利用して、第一のアニールを実行する。次に、図9に示すように、選択ウェットエッチング工程を使用してあらゆる未反応シリサイド金属を構造物から除去する。
いくつかの金属シリサイドの場合、多結晶シリコンは消費され、第一のシリサイド相36の比抵抗はこの相の最小値に近いので、サリサイドプロセスをこの時点で止めてよい。これは、NiおよびPtの場合である。この実施態様は、図には示していない。他の場合、例えば、シリサイド金属としてCoまたはTiを用いるとき、残存する多結晶シリコンの消費および第二のシリサイド相材料38の形成のために第二の高温アニール(上記で説明したように)が必要である。図10を参照すること。この実施態様では、第一のシリサイド相は高比抵抗相シリサイド材料であり、一方、第二のシリサイド相材料38は低比抵抗相シリサイド材料である。
上述の本発明の金属シリサイドゲートプロセスの完了後、トランジスタからトランジスタ、およびトランジスタから外部への接続のための多層相互配線構造物を構築するための通常の手法を使用してよい。
上記で述べたように、本発明の第一の実施態様のプロセス工程によって、各金属シリサイドゲートが、その寸法に関わらず、同じ相を有し、実質的に同じ高さであるCMOS構造物を作製することができる。前述の集積化プロセス方式を用いて形成されるCMOS構造物の別の特徴は、同じポリSiイオン注入条件による各金属シリサイドゲートが実質的に同じ仕事関数を有することである。
図11〜15を参照する。図11〜15は、本発明の第二の実施態様のさまざまな段階の間のCMOS構造物の断面図である。これらの図面では、二つのポリSiゲート(すなわちpFETおよびnFETトランジスタを有するCMOSトランジスタ構造物)の存在を示すが、本発明は、その数のポリSiゲートに限定されない。代わりに、本集積化プロセスは、任意の数のポリSiゲートの場合に機能する。従って、単一の半導体構造物全体にわたって、複数個のポリSiゲートが存在してよい。
図11は、本発明の第二の実施態様で使用することができる初期構造物を示す。図に示したように、初期構造物は、半導体基板10、半導体基板10の表面上に配置されるパターン化ゲート誘電体14、パターン化ゲート誘電体14の表面部分の上に配置されるパターン化ポリSiゲート16、および各パターン化ポリSiゲート16の露出垂直側壁上に形成されるスペーサ23を備える。図11に示した構造物は、第一の実施態様の場合と同じ材料を含み、同構造物を形成するために、図2に示した構造物を形成する際に用いたものと類似のプロセスフローを用いる。この実施態様では、ドープ化領域およびアイソレーション領域は描かれていない。しかし、半導体基板10中に同領域を含んでよい。この実施態様では、パターン化ポリSiゲート16の各露出垂直側壁上に一層スペーサ23が示されている点に注意すること。一層スペーサが示されているが、二層スペーサ22および24(図2に示したように)が使用されるとき、第二の実施態様は機能する。酸化物、窒化物、オキシ窒化物またはそれらの任意の組み合わせで一層スペーサ23を構成してよい。
図11に示した初期構造物の形成に続いて、初期構造物のすべての露出表面(垂直および水平)上にシリサイド金属50を形成し、例えば、図12に示す構造物を提供する。本発明の第二の実施態様で使用されるシリサイド金属50は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含む。例えば物理的気相堆積法(スパッタリング)、化学的気相堆積法、原子層堆積法またはめっき法などの共形堆積プロセスを利用してシリサイド金属50を形成する。シリサイド金属50は、堆積される金属の種類および同金属を形成する際に用いられる技法によって変化する厚さを有してよい。しかし、通常、シリサイド金属50は、堆積後、約0.5から約25nmの厚さを有する。
半導体基板10がSi含有材料で構成されていない実施態様では、シリサイド金属50の形成の前に、非Si含有基板の露出表面の上にSi含有材料を形成してよい。
次に、通常の堆積技法によって、図12に示した構造物の上にリフローすることができる材料(以下リフロー材料と称する)を形成する。リフロー材料は、反射防止コーティング(ARC)またはスピンオン誘電体材料を含む。堆積するリフロー材料の厚さは変化してよいが、通常、堆積するリフロー材料は、ポリSiゲート16の高さより低い厚さを有する。詳しくは、堆積直後のリフロー材料は、約20から約180nmの厚さを有する。
堆積後、リフロー材料は凹形になり、例えば図13に示す構造物を提供する。図13で、参照番号52が凹形のリフロー材料を示す。エッチング工程を用いてリフロー材料をさらに凹ませてよい。図に示したように、凹形のリフロー材料52は、ポリSiゲート16の高さより低い上部表面を有する。その結果、本発明の第二の実施態様のこの時点で、凹形のリフロー材料52は、ポリSiゲート16の間にだけ配置される。
凹形のリフロー材料52の形成後、各ポリSiゲート16の上のシリサイド金属50を除去し、それによって、各ポリSiゲート16の上部表面を露出させる。例えば、図14に、ポリSiゲート16の上からシリサイド金属50を除去した後に結果として形成される構造物を示す。誘電体またはポリSiに対して金属を選択的に除去するエッチングプロセスを利用して、ポリSiゲート16上のシリサイド金属50の除去を実行する。詳しくは、本発明のこの工程では、硫酸/過酸化水素溶液を使用するウェットエッチングプロセスを使用してよい。
本発明の第二の実施態様のこの時点で、ARCまたはスピンオン材料を選択除去するストリッピングプロセスを利用して構造物から凹形のリフロー材料52を除去した後、アニーリングプロセスを実行する。
アニーリングプロセスは、第一のアニーリング、およびオプションとして、第二のアニールを含んでよい。第一のアニールの後、または第二のオプションのアニールの後、未反応金属は除去される。通常、第一のアニールは第二のアニーリング工程より低い温度で実行される。通常、連続加熱領域またはさまざまな昇温および保温加熱サイクルを用いて、約300℃から約600℃の温度で第一のアニーリング工程を実行する。第一のアニーリング工程は、高抵抗シリサイド相材料を形成してもよく、形成しなくてもよい。より好ましくは、約350℃から約550℃の温度で第一のアニーリング工程を実行する。連続加熱領域またはさまざまな昇温および保温加熱サイクルを用いて、約600℃から約800℃の温度で第二のアニーリング工程を実行する。より好ましくは、約650℃から約750℃の温度で第二のアニーリング工程を実行する。通常、第二のアニールによって、高抵抗シリサイドはより低抵抗のシリサイド相に変換される。
気体雰囲気、例えばHe、Ar、Nまたはフォーミング気体中でシリサイドアニールを実行する。ソース/ドレインシリサイド接点アニーリング工程は、異なる雰囲気を用いてよく、あるいは同じ雰囲気中でアニーリング工程を実行してよい。例えば、両方のアニーリング工程でHeを用いてよく、あるいは第一のアニーリング工程でHeを用い、第二のアニーリング工程でフォーミング気体を用いてよい。金属を選択除去するエッチングプロセスを利用して、未反応金属を除去する。例は、硫酸/過酸化水素溶液を用いるウェットエッチングを含む。
図15は、アニーリングおよびシリサイド接触54の形成後、結果として形成される構造物を示す。本発明の方法は、ポリSiゲート16の寸法に関わりなく、各ポリSiゲート16が同じ高さを有する構造物を提供する点に注意すること。
本発明の第二の実施態様のこの時点で、ポリSiゲート16を、同じポリSi予備ドーピング条件のとき、実質的に同じ高さ、相および仕事関数を有するシリサイド金属ゲートに変換することができる。本発明の第一の実施態様で言及したサリサイドプロセスを利用して、シリサイド金属ゲートを形成してよい。詳しくは、最初に、ポリSiゲート16および誘電体キャッピング層だけが続いて堆積されるシリサイド金属と接触するように、構造物の上に誘電体キャッピング層(例えばSi、SiO、Si上のSiO等)を提供することによって、シリサイド金属ゲートを形成してよい。本発明のこの工程によって、ソース/ドレイン領域を誘電体層でキャップし、これによって、ゲート形成に用いられるシリサイド金属がソース/ドレイン領域と接触しないようにする。キャッピング層のための可能な手法は、ブランケットSi層を堆積した後、続いて高密度プラズマ(HDP)SiO層(ゲート高さより大きな厚さ)を堆積することである。化学機械研磨を用いてHDP SiO停止層をSi層のレベル、あるいは若干Si層内まで平坦化してよい。SiOがいくらか残ったら、ウェットエッチプロセスを用いてSiOを除去してよい。選択的RIEプロセスを用いてゲート上のSiを除去し、次に、シリサイド金属を堆積し、上記で説明したサリサイドプロセスに付す。
図16〜21を参照する。図16〜21は、本発明の第三の実施態様のさまざまな段階の間のCMOS構造物の断面図である。これらの図面では、二つのポリSiゲート(すなわちpFETおよびnFETトランジスタを有するCMOSトランジスタ構造物)の存在を示すが、本発明は、その数のポリSiゲートに限定されない。代わりに、本集積化プロセスは、任意の数のポリSiゲートの場合に機能する。従って、単一の半導体構造物全体にわたって、複数個のポリSiゲートが存在してよい。
図16は、本発明の第三の実施態様で使用することができる初期構造物を示す。図に示したように、初期構造物は、内部に形成される第一のドーピング領域11a、第二のドーピング領域11bおよびアイソレーション領域12を有する半導体基板10、半導体基板10の表面上に配置されるパターン化ゲート誘電体14、パターン化ゲート誘電体14の表面部分の上に配置されるパターン化ポリSiゲート16、および各パターン化ポリSiゲート16の露出垂直側壁上に形成されるスペーサ22および24を含む。本発明の第三の実施態様で使用される初期構造物の前述の構成要素は、ポリSiゲート16の上に誘電体キャップ18が存在しない点を除いて、図2に示した構成要素と類似する。
図16の構造物は、金属含有層72とキャッピング層74とを含む二層スタック70も備える。図に示したように、最初に金属含有層70を形成し、その後、キャッピング層74を形成する。
二層スタック70の金属含有層72は、シリコンと反応して金属シリサイドを生成することができる任意の金属で構成してよい。そのような金属の例は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含むが、それらに限定されない。これらの金属のスタックを金属含有層70として用いてもよい。これらのさまざまな金属の中で、CoまたはNiが使用されることが好ましい。二層スタック70の金属含有層72は、一般的に、厚さが約0.5から約25nmの層であり、約0.5から約15nmの厚さがより一般的である。
例えば、物理的気相堆積法(スパッタリング法または蒸発法)、化学的気相堆積法、原子層堆積法、化学的溶液相堆積法、めっき法および類似法を含む任意の通常の堆積プロセスを利用して、二層スタック70の金属含有層72を形成する。
金属含有層72の上に形成される二層スタック70のキャッピング層74は、シリサイドを形成するために用いられる下地金属中への酸素取り込みを妨げることができる任意の材料を含む。そのようなキャッピング層を説明する例は、TiN、WまたはTiを含む。一般的に、キャッピング層72は約5nmから約50nmの厚さを有し、約10から約25nmの厚さがより一般的である。例えば物理的気相堆積法または化学的気相堆積法などの通常の堆積プロセスを利用して、キャッピング層72を形成する。
次に、図16に示した構造物の上に平坦化誘電体75を形成し、図17に示す構造物を提供する。平坦化誘電体75は、平坦化層として機能することができるフォトレジスト、低温酸化物(LTO)またはその他の同様な誘電体を含んでよい。平坦化前の平坦化誘電体75の初期厚さは変化してよいが、ポリSiゲート16の高さより高い厚さがなければならない。
次に、例えば、例えば、化学機械研磨または研削あるいはその両方を含む通常の平坦化プロセスによって平坦化誘電体75を平坦化し、これによって、図18に示す構造物を提供する。平坦化の間、各ポリSiゲート16より高い位置にある平坦化誘電体75を除去し、これによって、下地の二層スタック70のキャッピング層74を露出させる。本発明のこの実施態様では、ポリSiゲート16の上のキャッピング層74は、CMPエッチング停止層として機能する。
次に、露出したキャッピング層74を除去して、下地の金属含有層72を露出させる。選択ウェットエッチングプロセスを利用して、露出したキャッピング層74を除去する。
次に、各ポリSiゲート16の上の露出した金属含有層72を除去し、これによって、ポリSiゲート16の上部表面を露出させる。図19を参照すること。金属を選択除去するエッチングプロセスを利用して、露出した金属含有層72を除去する。例は、硫酸/過酸化水素溶液を用いるウェットエッチングを含む。
露出した金属含有層72を各ポリSiゲート16の上から選択除去した後、フォトレジストまたは低温酸化物を選択除去するエッチングプロセスを利用して、隣接する平坦化材料75を除去する。例えば、図20に、上記のプロセス工程に従って形成された構造物を示す。
次に、シリサイド接点54を形成するアニーリングプロセスを実行する。図21を参照すること。アニーリングプロセスは、第一のアニーリング、およびオプションとして、第二のアニールを含んでよい。第一のアニールの後、または第二のオプションのアニールの後、未反応金属およびキャッピング層を除去してよい。通常、第一のアニールは第二のアニーリング工程より低い温度で実行される。通常、連続加熱領域またはさまざまな昇温および保温加熱サイクルを用いて、約300℃から約600℃の温度で第一のアニーリング工程を実行する。第一のアニーリング工程は、高抵抗シリサイド相材料を形成してもよく、形成しなくてもよい。より好ましくは、約350℃から約550℃の温度で第一のアニーリング工程を実行する。連続加熱領域またはさまざまな昇温および保温加熱サイクルを用いて、約600℃から約800℃の温度で第二のアニーリング工程を実行する。より好ましくは、約650℃から約750℃の温度で第二のアニーリング工程を実行する。通常、第二のアニールによって、高抵抗シリサイドはより低抵抗のシリサイド相に変換される。
気体雰囲気、例えばHe、Ar、Nまたはフォーミング気体中でシリサイドアニールを実行する。ソース/ドレインシリサイド接点アニーリング工程は、異なる雰囲気を用いてよく、あるいは同じ雰囲気中でアニーリング工程を実行してよい。例えば、両方のアニーリング工程でHeを用いてよく、あるいは第一のアニーリング工程でHeを用い、第二のアニーリング工程でフォーミング気体を用いてよい。アニーリングの後、該当する材料を選択除去するエッチングプロセスを利用して、誘電体キャップ74および未反応金属(すなわち金属含有層72)を除去する。
図21は、アニーリングおよびシリサイド接点54の形成後、形成される構造物を示す。本発明の方法は、ポリSiゲート16の寸法に関わりなく、各ポリSiゲート16が同じ高さを有する構造物を提供する点に注意すること。
第三の実施態様では、本発明の第二の実施態様において上記で説明したように、シリサイド金属ゲートを形成してよい。
上記で言及した本発明のさまざまな実施態様では、シリサイドを形成する際に使用される金属は、金属シリサイドの形成を促進することができる合金添加物を含んでよい。本発明において使用することができる合金添加物の例は、C、Al、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Ge、Zr、Nb、Mo、Ru、Rh、Pd、Ag、In、Sn、Hf、Ta、W、Re、Ir、Ptまたはそれらの混合物を含む。ただし、合金添加物は、シリサイドを形成する際に用いられる金属と同じでないという条件がある。存在するとき、合金添加物は、約0.1から約50原子パーセントの量で存在する。
本発明の好ましい実施態様について、本発明を詳しく示し説明してきたが、本発明の技術思想および範囲から逸脱することなく、前述およびその他の形式および詳細の変化を施すことができることは、当業者に理解されるものとする。従って、本発明は、記載され例が示された正確な形式および詳細に限定されるものではなく、付属の請求項の範囲に属するものとする。
本発明の第一の実施態様で使用される初期キャップ付きポリSiゲート構造物を示す説明図(断面図による)である。 図1に例を示したキャップ付きポリSiゲートのそれぞれの側壁上に二重スペーサが形成された後の構造物を示す説明図(断面図による)である。 図2に例を示した構造物上にソース/ドレインシリサイド化が実行された後の構造物を示す説明図(断面図による)である。 図3に例を示した構造物上での窒化物/酸化物誘電体スタックの堆積の後の構造物を示す説明図(断面図による)である。 図4で例を示した構造物を平坦化した後の構造物を示す説明図(断面図による)である。 キャップ材料を含む酸化物および窒化物をバックエッチングし、各ポリSiゲートの上面部を露出させた後の構造物を示す説明図(断面図による)である。 シリサイド金属堆積後の構造物を示す説明図(断面図による)である。 第一の自己整合シリサイドアニール後の構造物を示す説明図(断面図による)である。 シリサイド選択性エッチングを実行した後の構造物を示す説明図(断面図による)である。 オプションの第二の自己整合シリサイドアニールを実行した後の構造物を示す説明図(断面図による)である。 本発明の第二の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第二の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第二の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第二の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第二の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。 本発明の第三の実施態様の基本プロセスフローの例を示す説明図(断面図による)である。

Claims (52)

  1. 相補型金属酸化物半導体(CMOS)構造物を作製するための方法であって、
    半導体基板の上に配置される複数のポリSiゲートを準備する工程であって、各ポリSiゲートは、その上部表面上に配置される誘電体キャップを備える工程、
    前記半導体基板中にシリサイド化ソース/ドレイン領域を形成する工程、
    前記半導体基板上に平坦化誘電体スタックを形成する工程、
    エッチングプロセスを実行して各ポリSiゲートの上部表面を露出させる工程、および
    各ポリSiゲートを金属シリサイドゲートに変換するサリサイドプロセスを実行する工程
    を含み、同じポリSiイオン注入条件の場合に、各金属シリサイドゲートは、実質的に同じ高さを有し、同じシリサイド相で構成され、実質的に同じ仕事関数を有する方法。
  2. 前記複数のポリSiゲートは、ゲート誘電体の上に形成される、請求項1に記載の方法。
  3. 前記複数のポリSiゲートは、堆積法、リソグラフィー法およびエッチング法によって形成される、請求項1に記載の方法。
  4. 前記誘電体キャップは窒化物で構成される、請求項1に記載の方法。
  5. 前記複数のポリSiゲートを準備する工程は、前記ポリSiゲートの各露出側壁上の少なくとも一つのスペーサの形成を含む、請求項1に記載の方法。
  6. 前記少なくとも一つのスペーサは、第一のスペーサおよび第二のスペーサを含み、前記第一のスペーサは、前記第二のスペーサより狭い厚さを有する、請求項5に記載の方法。
  7. シリサイド化ソース/ドレイン領域を形成する工程は、前記半導体基板の上に金属を堆積すること、およびサリサイドプロセスを実行することを含む、請求項1に記載の方法。
  8. 前記金属は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含む、請求項7に記載の方法。
  9. 前記金属は、Co、NiまたはPtである、請求項8に記載の方法。
  10. 前記サリサイドプロセスは、第一のアニール、選択エッチング工程、およびオプションとして第二のアニールを含む、請求項7に記載の方法。
  11. 金属堆積の前に、前記半導体基板の上にシリコンの層を形成することをさらに含む、請求項7に記載の方法。
  12. 平坦化誘電体スタックを形成する前記工程は、堆積および平坦化を含む、請求項1に記載の方法。
  13. 平坦化誘電体スタックを形成する前記工程は、エッチング停止層を形成すること、中間層誘電体を形成すること、および前記中間層誘電体を平坦化することを含む、請求項1に記載の方法。
  14. 前記エッチングプロセスは、反応性イオンエッチング工程を含む、請求項1に記載の方法。
  15. 前記サリサイドプロセスは、各ポリSiゲートの前記少なくとも露出された上部表面の上にブランケットシリサイド金属層を堆積すること、第一のアニールを実行して前記ポリSiゲートの全部または一部を消費させること、未反応シリサイド金属を選択エッチングすること、およびオプションとして第二のアニールを実行することを含む、請求項1に記載の方法。
  16. 前記シリサイド金属は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含む、請求項15に記載の方法。
  17. 前記シリサイド金属は、Co、NiまたはPtである、請求項16に記載の方法。
  18. 前記第一のアニールは、約350℃から約550℃の温度で実行される、請求項15に記載の方法。
  19. 前記オプションの第二のアニールは、約600℃から約800℃の温度で実行される、請求項15に記載の方法。
  20. ゲート誘電体の表面の上に配置される複数のシリサイド化金属ゲート
    を含むCMOS構造物であって、前記シリサイド化金属ゲートのそれぞれは、同じポリSiイオン注入条件の場合には、前記シリサイド化金属ゲートの寸法に関らず、同じシリサイド相で構成され、実質的に同じ高さを有し、実質的に同じ仕事関数を有するCMOS構造物。
  21. 前記シリサイド化金属ゲートは、Ti、Ta、W、Co、Ni、Pt、Pdおよびそれらの合金からなる群から選ばれる金属を含む、請求項20に記載のCMOS構造物。
  22. 前記金属は、Co、NiまたはPtである、請求項21に記載のCMOS構造物。
  23. 各シリサイド化金属ゲートと前記半導体基板との間に配置されるゲート誘電体をさらに含む、請求項20に記載のCMOS構造物。
  24. 前記ゲート誘電体は、SiO、SiO、HfO、ZrO、Al、TiO、La、Y、SrTiO、LaAlO、ケイ酸塩類、またはそれらの組み合わせを含む、請求項23に記載のCMOS構造物。
  25. 各シリサイド化金属ゲートに隣接するシリサイド化ソース/ドレイン領域をさらに含む、請求項20に記載のCMOS構造物。
  26. 各シリサイド化金属ゲートは、CoSi、PtSiまたはNiSiを含む、請求項20に記載のCMOS構造物。
  27. 前記シリサイド化金属ゲートのそれぞれの側壁上に少なくとも一つのスペーサが配置される、請求項20に記載のCMOS構造物。
  28. 前記少なくとも一つのスペーサは、第一の狭いスペーサおよび第二のより広いスペーサを備える、請求項27に記載のCMOS構造物。
  29. 前記シリサイドゲートは、As、P、B、Sb、Bi、In、Al、Ga、Tlおよびそれらの混合物からなる群から選ばれるドーパントを含み、前記ドーパントは、前記シリサイド化金属ゲートの仕事関数を変化させる、請求項20に記載のCMOS構造物。
  30. シリサイド接点を有するCMOS構造物を形成する方法であって、前記方法は、
    半導体基板の上に配置される複数のポリSiゲートを備える構造物を準備する工程、
    前記ポリSiゲートおよび前記半導体基板を備える前記構造物の上にシリサイド金属を堆積する工程、
    各ポリSiゲートの間に凹形のリフロー材料を形成する工程、
    前記ポリSiゲートのそれぞれの上からシリサイド金属を除去する工程、
    前記凹形のリフロー材料を除去する工程、および
    前記構造物をアニールし、これによって、前記ポリSiゲートのそれぞれの間にシリサイド接点領域を形成する工程
    を含む方法。
  31. 前記シリサイド金属は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含む、請求項30に記載の方法。
  32. 前記金属は、Co、NiまたはPtである、請求項31に記載の方法。
  33. 前記凹形のリフロー材料は、反射防止コーティングまたはスピンオン誘電体を含む、請求項30に記載の方法。
  34. 前記凹形のリフロー材料を形成する工程は、堆積およびオプションのエッチングを含む、請求項30に記載の方法。
  35. 前記シリサイド金属を除去する工程は、ウェットエッチングプロセスを含む、請求項30に記載の方法。
  36. 前記アニールする工程は、約300℃から約600℃の温度で実行される第一のアニールする工程を少なくとも含む、請求項30に記載の方法。
  37. 約600℃から約800℃の温度で実行されるオプションの第二のアニールする工程をさらに含む、請求項36に記載の方法。
  38. SiOおよびSiを含むキャッピング二層を堆積し、平坦化することによってシリサイド金属ゲートを形成する工程、オプションのウェットエッチングプロセスを実行してSiOを除去する工程、選択RIEプロセスを実行して前記ゲートの上のSiを除去する工程、前記ゲート上にシリサイド金属を形成する工程、およびサリサイドプロセスを実行する工程をさらに含む、請求項30に記載の方法。
  39. シリサイド接点を有するCMOS構造物を形成する方法であって、
    半導体基板の上に配置される複数のポリSiゲートを備える構造物を準備する工程、
    前記ポリSiゲートおよび前記半導体基板を備える前記構造物の上に金属含有層およびキャッピング層を備える二層を形成する工程、
    前記半導体基板上に平坦化材料を形成する工程、
    各ポリSiゲートの上で前記金属含有層を露出させる工程、
    各ポリSiゲートの上から前記金属含有層を除去する工程、
    前記平坦化材料を平坦化する工程、および
    前記構造物をアニールし、これによって、前記ポリSiゲートのそれぞれの間にシリサイド接点領域を形成する工程
    を含む方法。
  40. 前記金属含有層は、Ti、Ta、W、Co、Ni、Pt、Pdまたはそれらの合金を含む、請求項39に記載の方法。
  41. 前記金属含有層は、Co、NiまたはPtである、請求項40に記載の方法。
  42. 前記キャッピング層は、TiN、WまたはTiを含む、請求項39に記載の方法。
  43. 前記平坦化材料は、フォトレジストまたは低温度酸化物を含む、請求項39に記載の方法。
  44. 前記露出させる工程は、前記平坦化材料の化学機械研摩および前記キャッピング層のエッチングを含む、請求項39に記載の方法。
  45. 各ポリSiゲートの上からの前記金属含有シリサイド金属の前記除去工程は、ウェットエッチングプロセスを含む、請求項39に記載の方法。
  46. 前記アニールする工程は、約300℃から約600℃の温度で実行される第一のアニールする工程を少なくとも含む、請求項39に記載の方法。
  47. 約600℃から約800℃の温度で実行されるオプションの第二のアニールする工程をさらに含む、請求項46に記載の方法。
  48. SiOおよびSiを含むキャッピング二層を堆積し、平坦化することによってシリサイド金属ゲートを形成する工程、オプションのウェットエッチングプロセスを実行してSiOを除去する工程、選択RIEプロセスを実行して前記ゲートの上のSiを除去する工程、前記ゲート上にシリサイド金属を形成する工程、およびサリサイドプロセスを実行する工程を含む、請求項39に記載の方法。
  49. ゲート誘電体の表面の上に配置される複数のポリSiゲート
    を含むCMOS構造物であって、前記ポリSiゲートのそれぞれは、前記ポリシリコンゲートと、各ポリSiゲートの間に配置されるシリサイド接点との寸法に関らず、実質的に同じ高さを有するCMOS構造物。
  50. 前記ポリSiゲートは、As、P、B、Sb、Bi、In、Al、Ga、Tlおよびそれらの混合物からなる群から選ばれるドーパントを含む、請求項49に記載のCMOS構造物。
  51. 前記シリサイド接点は、NiSi、CoSiまたはPtSiを含む、請求項49に記載のCMOS構造物。
  52. 前記シリサイド接点は、各ポリSiゲートの側壁上に配置されるスペーサの外部エッジと自己整合される、請求項49に記載のCMOS構造物。
JP2007500919A 2004-02-25 2005-02-22 相補型金属酸化物半導体(cmos)を形成する方法及びその方法に従い製造されたcmos Expired - Fee Related JP4917012B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/786,901 US7056782B2 (en) 2004-02-25 2004-02-25 CMOS silicide metal gate integration
US10/786,901 2004-02-25
PCT/US2005/005565 WO2005083780A2 (en) 2004-02-25 2005-02-22 Cmos silicide metal gate integration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011187435A Division JP5574441B2 (ja) 2004-02-25 2011-08-30 相補型金属酸化物半導体(cmos)構造物

Publications (3)

Publication Number Publication Date
JP2007524252A true JP2007524252A (ja) 2007-08-23
JP2007524252A5 JP2007524252A5 (ja) 2008-03-27
JP4917012B2 JP4917012B2 (ja) 2012-04-18

Family

ID=34861872

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007500919A Expired - Fee Related JP4917012B2 (ja) 2004-02-25 2005-02-22 相補型金属酸化物半導体(cmos)を形成する方法及びその方法に従い製造されたcmos
JP2011187435A Expired - Fee Related JP5574441B2 (ja) 2004-02-25 2011-08-30 相補型金属酸化物半導体(cmos)構造物

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011187435A Expired - Fee Related JP5574441B2 (ja) 2004-02-25 2011-08-30 相補型金属酸化物半導体(cmos)構造物

Country Status (7)

Country Link
US (3) US7056782B2 (ja)
EP (1) EP1726041A2 (ja)
JP (2) JP4917012B2 (ja)
KR (1) KR20060132673A (ja)
CN (1) CN1943027B (ja)
TW (1) TWI338349B (ja)
WO (1) WO2005083780A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208242A (ja) * 2005-12-23 2007-08-16 Interuniv Micro Electronica Centrum Vzw ゲート電極の高さの制御方法
JP2013539236A (ja) * 2010-10-04 2013-10-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 置換ゲートデバイスの製造

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
JP2006294800A (ja) * 2005-04-08 2006-10-26 Toshiba Corp 半導体装置の製造方法
KR100672812B1 (ko) * 2005-05-02 2007-01-22 삼성전자주식회사 이미지 센서와 그 제조 방법
US20080296644A1 (en) * 2005-05-02 2008-12-04 Samsung Electronics Co., Ltd. Cmos image sensors and methods of fabricating same
US20060258074A1 (en) * 2005-05-12 2006-11-16 Texas Instruments Incorporated Methods that mitigate excessive source/drain silicidation in full gate silicidation metal gate flows
US7151023B1 (en) * 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
EP1772898A1 (en) * 2005-10-06 2007-04-11 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a silicide gate
US20080009134A1 (en) * 2006-07-06 2008-01-10 Tsung-Yu Hung Method for fabricating metal silicide
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
KR100948294B1 (ko) * 2007-10-12 2010-03-17 주식회사 동부하이텍 반도체 소자의 제조방법
KR100935770B1 (ko) * 2007-11-26 2010-01-06 주식회사 동부하이텍 반도체 소자 및 이의 제조방법
CN101447421B (zh) * 2007-11-28 2010-09-22 中国科学院微电子研究所 一种制备金属栅电极的方法
US7790541B2 (en) * 2007-12-04 2010-09-07 International Business Machines Corporation Method and structure for forming multiple self-aligned gate stacks for logic devices
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
US7749898B2 (en) * 2008-06-24 2010-07-06 Globalfoundries Inc. Silicide interconnect structure
US9236448B2 (en) * 2008-09-02 2016-01-12 Cypress Semiconductor Corporation Method for achieving very small feature size in semiconductor device by undertaking silicide sidewall growth and etching
US8008145B2 (en) * 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US7981801B2 (en) * 2008-09-12 2011-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) method for gate last process
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
US8629506B2 (en) * 2009-03-19 2014-01-14 International Business Machines Corporation Replacement gate CMOS
DE102009055395B4 (de) * 2009-12-30 2011-12-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vordotiertes Halbleitermaterial für eine Metallgateelektrodenstruktur mit großem ε von p-und n-Kanaltransistoren
US8222100B2 (en) * 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US8039388B1 (en) * 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process
CN102208341A (zh) * 2010-03-31 2011-10-05 上海华虹Nec电子有限公司 降低多晶硅栅极电阻的方法
US8420473B2 (en) 2010-12-06 2013-04-16 International Business Machines Corporation Replacement gate devices with barrier metal for simultaneous processing
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US8377790B2 (en) 2011-01-27 2013-02-19 International Business Machines Corporation Method of fabricating an embedded polysilicon resistor and an embedded eFuse isolated from a substrate
US9269634B2 (en) 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
CN102420144A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善先栅极工艺高k栅电介质nmos hci方法
US8765586B2 (en) 2011-12-20 2014-07-01 Globalfoundries Inc. Methods of forming metal silicide regions on semiconductor devices
US9041076B2 (en) 2013-02-03 2015-05-26 International Business Machines Corporation Partial sacrificial dummy gate with CMOS device with high-k metal gate
CN103855007A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 P型mosfet的制造方法
CN103855006A (zh) 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件的制造方法
US9419126B2 (en) * 2013-03-15 2016-08-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with active area protection
CN103943482B (zh) * 2014-04-22 2017-08-08 上海华力微电子有限公司 降低多晶硅栅极与活化区镍硅化物厚度比的方法
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10354880B2 (en) * 2017-04-05 2019-07-16 International Business Machines Corporation Sidewall spacer with controlled geometry
CN110233106B (zh) * 2018-03-05 2022-10-25 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
JP7101090B2 (ja) * 2018-09-12 2022-07-14 株式会社東芝 半導体装置
CN113130393A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11121745A (ja) * 1997-10-20 1999-04-30 Nec Corp 半導体装置の製造方法
JP2000252462A (ja) * 1999-03-01 2000-09-14 Toshiba Corp Mis型半導体装置及びその製造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2582445B1 (fr) 1985-05-21 1988-04-08 Efcis Procede de fabrication de transistors mos a electrodes de siliciure metallique
JPS62154784A (ja) * 1985-12-27 1987-07-09 Hitachi Ltd 半導体装置
JPH0521458A (ja) * 1991-07-11 1993-01-29 Nec Corp 半導体装置およびその製造方法
US5733812A (en) 1993-11-15 1998-03-31 Matsushita Electric Industrial Co., Ltd. Semiconductor device with a field-effect transistor having a lower resistance impurity diffusion layer, and method of manufacturing the same
JP3596062B2 (ja) * 1995-01-20 2004-12-02 ソニー株式会社 コンタクト構造の形成方法
US6060387A (en) * 1995-11-20 2000-05-09 Compaq Computer Corporation Transistor fabrication process in which a contact metallization is formed with different silicide thickness over gate interconnect material and transistor source/drain regions
US6482626B2 (en) 1996-02-05 2002-11-19 Genentech, Inc. Human DNase
JPH1187705A (ja) * 1997-09-11 1999-03-30 Sharp Corp 静電的破壊保護素子及びその製造方法
JPH11191594A (ja) * 1997-10-21 1999-07-13 Sony Corp 半導体装置の製造方法
US6022769A (en) * 1997-12-23 2000-02-08 Texas Instruments -- Acer Incorporated Method of making self-aligned silicided MOS transistor with ESD protection improvement
JP4326606B2 (ja) * 1998-03-26 2009-09-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6140216A (en) * 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6124610A (en) * 1998-06-26 2000-09-26 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
JP2000077535A (ja) * 1998-09-02 2000-03-14 Hitachi Ltd 半導体装置及びその製造方法
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6153485A (en) * 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6238982B1 (en) * 1999-04-13 2001-05-29 Advanced Micro Devices Multiple threshold voltage semiconductor device fabrication technology
US6190961B1 (en) 1999-09-22 2001-02-20 International Business Machines Corporation Fabricating a square spacer
FR2804793B1 (fr) 2000-02-07 2003-07-04 St Microelectronics Sa Procede d'ajustement de la valeur de resistance de grille d'un transistor d'un circuit integre et circuit integre correspondant
US6458702B1 (en) 2000-03-09 2002-10-01 Tower Semiconductor Ltd. Methods for making semiconductor chip having both self aligned silicide regions and non-self aligned silicide regions
FR2810157B1 (fr) * 2000-06-09 2002-08-16 Commissariat Energie Atomique Procede de realisation d'un composant electronique a source, drain et grille auto-allignes, en architecture damascene
JP3961211B2 (ja) * 2000-10-31 2007-08-22 株式会社東芝 半導体装置の製造方法
JP2002217411A (ja) 2001-01-17 2002-08-02 Hitachi Ltd 半導体装置の製造方法
US20020123222A1 (en) 2001-03-01 2002-09-05 Bing-Chang Wu Method of fabricating a salicide layer
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
JP3485103B2 (ja) * 2001-04-19 2004-01-13 セイコーエプソン株式会社 Mos型トランジスタ及びその製造方法
KR20020083795A (ko) * 2001-04-30 2002-11-04 삼성전자 주식회사 자기정렬 실리사이드 기술을 사용하는 모스 트랜지스터의제조방법
JP2003142597A (ja) * 2001-11-01 2003-05-16 Hitachi Ltd 半導体装置の製造方法
US20030141565A1 (en) 2002-01-28 2003-07-31 Fumihiko Hirose Diode
US6599831B1 (en) * 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US6656764B1 (en) 2002-05-15 2003-12-02 Taiwan Semiconductor Manufacturing Company Process for integration of a high dielectric constant gate insulator layer in a CMOS device
KR100438788B1 (ko) 2002-06-12 2004-07-05 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6657244B1 (en) * 2002-06-28 2003-12-02 International Business Machines Corporation Structure and method to reduce silicon substrate consumption and improve gate sheet resistance during silicide formation
US6787464B1 (en) * 2002-07-02 2004-09-07 Advanced Micro Devices, Inc. Method of forming silicide layers over a plurality of semiconductor devices
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6924184B2 (en) * 2003-03-21 2005-08-02 Freescale Semiconductor, Inc. Semiconductor device and method for forming a semiconductor device using post gate stack planarization
US6867130B1 (en) * 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6905922B2 (en) * 2003-10-03 2005-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Dual fully-silicided gate MOSFETs

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11121745A (ja) * 1997-10-20 1999-04-30 Nec Corp 半導体装置の製造方法
JP2000252462A (ja) * 1999-03-01 2000-09-14 Toshiba Corp Mis型半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007208242A (ja) * 2005-12-23 2007-08-16 Interuniv Micro Electronica Centrum Vzw ゲート電極の高さの制御方法
JP2013539236A (ja) * 2010-10-04 2013-10-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 置換ゲートデバイスの製造

Also Published As

Publication number Publication date
WO2005083780A2 (en) 2005-09-09
JP2012054555A (ja) 2012-03-15
CN1943027A (zh) 2007-04-04
WO2005083780A3 (en) 2005-12-08
US20080254622A1 (en) 2008-10-16
TW200531216A (en) 2005-09-16
KR20060132673A (ko) 2006-12-21
US20060189061A1 (en) 2006-08-24
JP5574441B2 (ja) 2014-08-20
US20050186747A1 (en) 2005-08-25
US7655557B2 (en) 2010-02-02
US7411227B2 (en) 2008-08-12
US7056782B2 (en) 2006-06-06
EP1726041A2 (en) 2006-11-29
CN1943027B (zh) 2010-09-29
JP4917012B2 (ja) 2012-04-18
TWI338349B (en) 2011-03-01

Similar Documents

Publication Publication Date Title
JP4917012B2 (ja) 相補型金属酸化物半導体(cmos)を形成する方法及びその方法に従い製造されたcmos
US10211095B2 (en) High performance middle of line interconnects
CN110176443B (zh) 用于减小接触电阻的双金属通孔
JP4144884B2 (ja) Cmosトランジスタの製造方法
JP5400913B2 (ja) シリサイド化金属ゲートの形成のための方法
US7465996B2 (en) Semiconductor device and method for fabricating the same
US7326610B2 (en) Process options of forming silicided metal gates for advanced CMOS devices
US7737032B2 (en) MOSFET structure with multiple self-aligned silicide contacts
US7682968B2 (en) Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
JP2004152995A (ja) 半導体装置の製造方法
US7473975B2 (en) Fully silicided metal gate semiconductor device structure
JP2008034413A (ja) 半導体装置及びその製造方法
JP4242822B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110830

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110830

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120104

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120125

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4917012

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees