CN110233106B - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN110233106B
CN110233106B CN201810179086.7A CN201810179086A CN110233106B CN 110233106 B CN110233106 B CN 110233106B CN 201810179086 A CN201810179086 A CN 201810179086A CN 110233106 B CN110233106 B CN 110233106B
Authority
CN
China
Prior art keywords
gate
layer
forming
silicon
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810179086.7A
Other languages
English (en)
Other versions
CN110233106A (zh
Inventor
陈亮
周朝锋
李晓波
钟小燕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201810179086.7A priority Critical patent/CN110233106B/zh
Priority to US16/289,298 priority patent/US11024506B2/en
Publication of CN110233106A publication Critical patent/CN110233106A/zh
Application granted granted Critical
Publication of CN110233106B publication Critical patent/CN110233106B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体结构及其形成方法,其中方法包括:提供基底;在所述基底上形成若干栅极结构,所述栅极结构包括位于基底表面的第一栅极部和位于第一栅极部顶部的第二栅极部,所述第一栅极部中具有第一掺杂离子;在所述第二栅极部表面形成金属层;进行退火处理,使部分所述金属层与第二栅极部反应形成金属硅化物层,在形成所述金属硅化物层的过程中,金属层与第二栅极部具有第一反应速率,所述金属层与第一栅极部具有第二反应速率,且所述第二反应速率小于第一反应速率。所述方法形成的金属硅化物层的高度一致性较好。

Description

半导体结构及其形成方法
技术领域
本发明涉及半导体制造领域,尤其涉及一种半导体结构及其形成方法。
背景技术
互补式金属氧化物半导体(CMOS)晶体管作为半导体制造中的最基本器件,常被广泛适用于各种集成电路中。根据主要载流子以及制造时的掺杂类型不同,将互补式金属氧化物半导体分为NMOS晶体管和PMOS晶体管。所述晶体管包括:栅极结构。
现有互补式金属氧化物半导体工艺中,为了改善栅极结构与栅极结构上插塞之间的接触电阻,通常在所述栅极结构的顶部表面形成金属硅化物层。
然而,现有技术形成的金属硅化物层的性能较差。
发明内容
本发明解决的技术问题是提供一种半导体结构及其形成方法,以提高金属硅化物层的性能。
为解决上述技术问题,本发明实施例提供一种半导体结构的形成方法,包括:提供基底;在所述基底上形成若干栅极结构,所述栅极结构包括位于基底表面的第一栅极部和位于第一栅极部顶部的第二栅极部,所述第一栅极部中具有第一掺杂离子;在所述第二栅极部表面形成金属层;进行退火处理,使部分所述金属层与第二栅极部反应形成金属硅化物层,在形成所述金属硅化物层的过程中,金属层与第二栅极部具有第一反应速率,所述金属层与第一栅极部具有第二反应速率,且所述第二反应速率小于第一反应速率。
可选的,所述第一栅极部的材料包括硅,所述第一掺杂离子包括碳离子,所述第二栅极部的材料包括硅。
可选的,所述第一栅极部的形成工艺包括:化学气相沉积工艺;所述化学气相沉积工艺的参数包括:反应气体包括硅源和碳源,硅源包括硅烷,碳源包括乙烯,碳源的气体流量为100cm3/min~500cm3/min,硅源的流量为300 cm3/min~1500cm3/min。
可选的,所述第二栅极部的高度与第一栅极部的高度比为:3:2~4:1。
可选的,所述金属层的材料包括:镍、钛或者铂,所述第二栅极部的材料包括硅,金属硅化物层的材料包括:硅镍化合物、钛硅化合物或者铂硅化合物。
可选的,所述退火工艺为快速退火工艺;所述快速退火工艺的参数包括:温度为200摄氏度~400摄氏度,时间为1分钟~3分钟。
可选的,所述第二栅极部全部用于形成金属硅化物层。
可选的,形成所述第一栅极部和第二栅极部之后,形成所述金属层之前,所述形成方法还包括:在所述基底上、以及第一栅极部和第二栅极部的侧壁形成介质层;所述介质层的材料包括:氧化硅。
本发明还提供一种半导体结构,包括:基底;位于基底上的若干栅极结构,所述栅极结构包括第一栅极部和位于第一栅极部顶部的金属硅化物层,所述第一栅极部中具有第一掺杂离子。
可选的,所述第一栅极部的材料包括硅,所述第一掺杂离子包括碳。
可选的,所述金属硅化物层的高度与第一栅极部的高度比为:3:2~4:1。
可选的,所述金属硅化物层的材料包括:硅镍化合物、钛硅化合物或者铂硅化合物。
可选的,所述半导体结构还包括:位于基底表面、以及覆盖第一栅极部和金属硅化物层侧壁的介质层;所述介质层的材料包括氧化硅。
与现有技术相比,本发明实施例的技术方案具有以下有益效果:
本发明技术方案提供的半导体结构的形成方法中,在所述基底上形成第一栅极部,所述第一栅极部内具有第一掺杂离子,所述第一掺杂离子使得第一栅极部内的原子团变小,则相邻原子团之间的范德华力较大。后续所述金属层与第二栅极部反应形成金属硅化物层时,所述金属层内的金属原子难以打开第一栅极部内的原子团,使得所述金属层与第一栅极部的结合能力较弱,因此,所述金属硅化物层的厚度由第二栅极部的高度进行限制。而若干所述第二栅极部的高度一致性较好,因此,若干所述金属硅化物层的高度一致性较好,则若干所述金属硅化物层的电阻一致性较好,有利于提高半导体器件的性能。
附图说明
图1至图3是一种半导体结构的形成方法各步骤的结构示意图;
图4至图9是本发明一实施例的半导体结构的形成方法的各步骤的结构示意图。
具体实施方式
正如背景技术所述,金属硅化物层的性能较差。
图1至图3是一种半导体结构的形成方法各步骤的结构示意图。
请参考图1,在所述基底100表面形成栅极材料层101,所述栅极材料层 101表面具有掩膜层102,所述掩膜层102内具有若干掩膜开口。
请参考图2,以所述掩膜层102为掩膜,刻蚀所述栅极材料层101,直至暴露出基底100的表面,形成若干栅极层103。
请参考图3,在所述基底100表面、以及栅极层103的侧壁和顶部表面形成介质层105;去除部分所述介质层105,直至暴露出掩膜层102的顶部表面;去除部分所述介质层103之后,去除所述掩膜层102,直至暴露出栅极层103 的顶部表面;在所述栅极层103的表面形成金属层(图中未示出);进行退火处理,使所述金属层与栅极层103反应形成金属硅化物层104。
上述形成方法中,所述掩膜层102用于作为形成栅极层103的掩膜,因此,所述掩膜层102沿垂直于栅极层103侧壁方向上的尺寸决定栅极层103 的宽度。然而,在实际工艺过程中,难以完全保证所述掩膜层102沿垂直于栅极层103侧壁方向上的尺寸完全一致,因此,以所述掩膜层102为掩膜,刻蚀所述栅极材料层101,所形成的栅极层103的宽度不完全一致,则后续不同宽度的栅极层103与相同量的金属层发生反应时,较宽的栅极层103与金属层形成的金属硅化物层104的厚度较薄,而较窄的栅极层103与金属层形成的金属硅化物层104形成的金属硅化物层104的厚度较厚,即:所形成的金属硅化物层104的高度差异性较大,则不同栅极层103顶部金属硅化物层的电阻差异性较大,不利于提高半导体器件的一致性,使得半导体器件的性能较差。
为解决所述技术问题,本发明提供了一种半导体结构的形成方法,包括:在所述基底上形成若干栅极结构,所述栅极结构包括位于基底表面的第一栅极部和位于第一栅极部顶部的第二栅极部,所述第一栅极部中具有掺杂离子。所述第二栅极部的高度用于限制金属硅化物层的高度,所述方法能够提高金属硅化物层高度的一致性。
为使本发明的上述目的、特征和有益效果能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图4至图9是本发明一实施例的半导体结构的形成方法的各步骤的结构示意图。
请参考图4,提供基底200;在所述基底200上形成第一栅极材料层201 和位于第一栅极材料层25 0 表面的第二栅极材料层201 ,所述第一栅极材料层250 内具有第一掺杂离子。
在本实施例中,所述基底200包括:衬底202和位于衬底202顶部的鳍部203。在其他实施例中,所述基底还可以为平面基底,例如,硅基底、锗基底或硅锗基底。
在本实施例中,所述衬底202和鳍部203的形成步骤包括:提供初始基底,所述初始衬底表面具有第二掩膜层,所述第二掩膜层暴露出部分初始基底的顶部表面;以所述第二掩膜层为掩膜,刻蚀所述初始衬底,形成所述衬底202和位于所述衬底202上的鳍部203。
本实施例中,所述初始衬底的材料为硅,相应的,所述衬底202和鳍部203 的材料为硅。
在其他实施例中,所述初始衬底还可以为锗衬底、硅锗衬底、绝缘体上硅或绝缘体上锗等半导体衬底,相应的,所述衬底和鳍部的材料包括:锗、硅锗、绝缘体上硅或者绝缘体上锗。
所述第二掩膜层的材料包括:氮化硅或者氮化钛。所述第二掩膜层用于形成衬底202和鳍部203的掩膜。
以所述第二掩膜层为掩膜,刻蚀所述初始衬底的工艺包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
所述第一栅极材料层250的材料包括:硅,所述第一掺杂离子包括碳离子,所述第一栅极材料层250的形成工艺包括:化学气相沉积工艺;所述化学气相沉积工艺的参数包括反应气体包括硅源和碳源,硅源包括硅烷,碳源包括乙烯,碳源的气体流量为100cm3/min~500cm3/min,硅源的流量为300 cm3/min~1500cm3/min。
所述第一栅极材料层250用于后续形成第一栅极部,因此,所述第一栅极材料层250的厚度决定后续形成的第一栅极部的高度。
所述第二栅极材料层201的材料包括:硅,所述第二栅极材料层201的形成工艺包括:化学气相沉积工艺或者物理气相沉积工艺。
所述第二栅极材料层201用于后续形成第二栅极部,因此,所述第二栅极材料层201的厚度决定后续形成的第二栅极部的高度。
所述第二栅极材料层201的厚度与第一栅极材料层250的厚度比为: 3:2~4:1。所述第二栅极材料层201的厚度与第一栅极材料层250的厚度比决定后续第二栅极部与第一栅极部的高度比。
在本实施例中,所述栅极材料层201中具有第一掺杂离子,所述第一掺杂离子为磷离子。在其他实施例中,所述栅极材料层中不具有第一掺杂离子。
所述栅极材料层201的表面具有第一掩膜层204,所述第一掩膜层204内具有若干掩膜开口(图中未标出),所述第一掩膜层204用于定于后续第一栅极层和第二栅极层的位置和尺寸。
所述第一掩膜层的形成步骤包括:在所述第二栅极材料层201表面形成第一掩膜材料层;在所述第一掩膜材料层表面形成图形化的光刻胶,所述图形化的光刻胶暴露出部分第一掩膜材料层的顶部表面;以所述图形化的光刻胶为掩膜,刻蚀所述第一掩材料层,直至暴露出栅极材料层201的顶部表面,形成第一掩膜层204,所述第一掩膜层内具有若干掩膜开口。
图形化光刻胶的过程中,受实际工艺制程的限制,难以完全保证图形化光刻胶的尺寸完全一致,使得以所述图形化光刻胶为掩膜,刻蚀所述第一掩膜材料层,所形成的第一掩膜层204的尺寸难以保证完全相同,使得后续以第一掩膜层204为掩膜,刻蚀所述第二栅极材料层201和第一栅极材料层250,形成的第一栅极层和第二栅极层的宽度不完全相同。
以所述图形化的光刻胶为掩膜,刻蚀所述第一掩材料层的工艺包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
形成所述第一栅极材料层250之前,所述形成方法还包括:在部分鳍部203的侧壁和顶部表面形成栅介质层205。
所述栅介质层205的材料包括:氧化硅,所述栅介质层205的形成工艺包括:化学气相沉积工艺或者物理气相沉积工艺。
所述第一栅极材料层250和第二栅极材料层201构成栅极结构膜。
请参考图5,以所述第一掩膜层204为掩膜,刻蚀所述栅极结构膜,直至暴露出鳍部203的顶部表面,形成栅极结构(图中未标出),所述栅极结构包括位于鳍部203表面的第一栅极部251和位于第一栅极部251顶部的第二栅极层206,所述第一栅极部251内具有第一掺杂离子。
以所述第一掩膜层204为掩膜,刻蚀所述栅极结构膜的工艺包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
尽管所述第一掩膜层204的宽度不完全一致,使得以所述第一掩膜层204 为掩膜,刻蚀所述栅极结构膜,所形成的栅极结构的宽度不完全一致。但是,所形成的第二栅极部206的高度一致性却较好。所述第二栅极部206后续被完全金属化形成金属硅化物层,因此,所述第二栅极部206的高度决定后续形成的金属硅化物层的高度,则所形成的金属硅化物层的高度较一致,使得所述金属硅化物层的电阻差异性较小,有利于提高半导体器件的一致性。
所述第二栅极部206的高度与第一栅极部251的高度比为:3:2~4:1。选择所述第二栅极部206与第一栅极部251的高度比的意义在于:若所述第二栅极206与第一栅极部251的高度比小于3:2,使得第二栅极部206和第一栅极部251作为整体的电阻较大,不利于提高半导体器件的电学性能;若所述第二栅极206与第一栅极部251的高度比大于4:1,使得第二栅极部206的高度过大,由于第二栅极部206内无第一掺杂离子,所述第二栅极部206内电阻不均匀,不利于提高闪存器件的性能。
形成所述第一栅极部251和第二栅极部206之后,所述形成方法还包括:在所述第一栅极部251和第二栅极部206两侧的鳍部203内形成源漏掺杂区 (图中未示出)。
所述源漏掺杂区的形成步骤包括:在所述第一栅极部251和第二栅极部 206两侧的鳍部203内形成源漏开口;在所述源漏开口内形成外延层;在所述外延层内掺入源漏离子,形成所述源漏掺杂区。
所述源漏开口的形成步骤包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
所述外延层的材料和源漏离子与晶体管的类型相关。
在本实施例中,晶体管为NMOS晶体管,所述外延层的材料包括碳化硅或者硅,所述源漏离子为N型离子,如:磷离子或者砷离子。
在其他实施例中,晶体管为PMOS晶体管,所述外延层的材料包括硅锗或者硅,所述源漏离子为P型离子,如:硼离子。
请参考图6,形成所述源漏掺杂区之后,在所述基底200上、第一栅极部 251的侧壁和顶部表面、以及第二栅极部206的侧壁和顶部表面形成介质膜 207。
所述介质膜207的材料包括:氧化硅或者氮氧化硅。所述介质膜207的形成工艺包括:化学气相沉积工艺或者物理气相沉积工艺。所述介质膜207 用于后续形成介质层。
请参考图7,去除部分介质膜207(如图6所示),暴露出第一掩膜层204 的顶部表面,形成介质层208;形成所述介质层208之后,去除所述第一掩膜层204,暴露出第二栅极部206的顶部表面。
去除部分介质膜207的工艺包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
去除部分介质膜207,暴露出第一掩膜层204的顶部表面,有利于后续去除第一掩膜层204,暴露出第二栅极部206的顶部表面,则后续金属层能够与第二栅极部206接触,有利于后续金属层与第二栅极部206反应形成金属硅化物层。
去除所述第一掩膜层204的工艺包括:干法刻蚀工艺和湿法刻蚀工艺中的一种或者两种组合。
请参考图8,在所述介质层208和第二栅极部206的顶部表面形成金属层 209。
在本实施例中,所述金属层209的材料包括:镍。在其他实施例中,所述金属层的材料包括:钛或者铂。
所述金属层209的形成工艺包括:化学气相沉积工艺或者物理气相沉积工艺。
所述金属层209用于后续与第二栅极部206反应形成金属硅化物层。
请参考图9,进行退火处理,使所述金属层209(见图8)与第二栅极部 206反应形成金属硅化物层210,在形成所述金属硅化物层210的过程中,金属层209与第二栅极部206具有第一反应速率,所述金属层209与第一栅极部251具有第二反应速率,且所述第二反应速率小于第一反应速率;形成所述金属硅化物层210之后,去除未反应的金属层209。
在本实施例中,所述金属硅化物层210的材料为硅镍化合物。在其他实施例中,所述金属硅化物层的材料包括:钛硅化合物或者铂硅化合物。
所述退火处理的工艺包括:快速退火工艺。
在本实施例中,所述退火处理的工艺为快速退火工艺;所述快速工艺的参数包括:温度为200摄氏度~400摄氏度,时间为1分钟~3分钟。
所述第二栅极部206全部被金属化为金属硅化物层210,因此,所述金属硅化物层210的高度是由第二栅极部206的高度所决定的,且所述金属硅化物层210的高度与第一栅极部251的高度比为:3:2~4:1。
由于所述第二栅极部206的高度一致性较好,所述第二栅极部206的高度决定金属硅化物层210的高度,使得所述金属硅化物层210的高度一致性较好,则金属硅化物层210的电阻一致,有利于提高半导体器件性能的一致性。
在形成金属硅化物层210的过程中,所述金属层209与第二栅极部206 具有第一反应速率,所述第一反应速率较大,所述第二栅极部206被金属化为金属硅化物层210。
若干第二栅极部206的宽度不完全相同,较窄的第二栅极部206相较于较宽的第二栅极部206先被完全金属化为金属硅化物层210。较窄的第二栅极部206在被完全金属化之后,所述金属层209与第一栅极部251接触。然而,由于第一栅极部251内具有第一掺杂离子,第一掺杂离子使得第一栅极部251 内的原子团较小,相邻的原子团之间的范德华力较大,则金属层209打开第一栅极部251内相邻原子团之间的范德华力的难度较大,使得金属层209与第一栅极部251的结合能力较弱,即:第二反应速率较小,则第一栅极部251 被金属化的量较少,即:所述金属硅化物层210的高度主要取决于第二栅极部206的高度。而所述第二栅极部206的高度一致性较好,则所形成的金属硅化物层210的高度一致性差异较小,金属硅化物层210的电阻一致,有利于提高半导体器件性能的一致性。
相应的,本发明还提供一种半导体结构,请继续参考图9,包括:
基底200;
位于基底200表面的若干栅极结构,所述栅极结构包括位于基底200表面的第一栅极部251和位于第一栅极部251顶部的金属硅化物层210,所述第一栅极部251内具有第一掺杂离子。
所述第一栅极部251的材料包括硅,所述第一掺杂离子包括碳。所述金属硅化物层210的高度与第一栅极部251的高度比为:3:2~4:1。
所述金属硅化物层210的材料包括:硅镍化合物、钛硅化合物或者铂硅化合物。
所述半导体结构还包括:位于基底200表面、以及覆盖第一栅极部251 和金属硅化物层210侧壁的介质层208;所述介质层208的材料包括氧化硅。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (11)

1.一种半导体结构的形成方法,其特征在于,包括:
提供基底;
在所述基底上形成若干栅极结构,所述栅极结构包括位于基底表面第一栅极部和位于第一栅极部顶部的第二栅极部,所述第一栅极部中具有第一掺杂离子;
在所述第二栅极部表面形成金属层;
进行退火处理,使部分所述金属层与第二栅极部反应形成金属硅化物层,在形成所述金属硅化物层的过程中,金属层与第二栅极部具有第一反应速率,所述金属层与第一栅极部具有第二反应速率,且所述第二反应速率小于第一反应速率;
所述第二栅极部的高度与第一栅极部的高度比为:3:2~4:1。
2.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第一栅极部的材料包括硅,所述第一掺杂离子包括碳离子,第二栅极部材料包括硅。
3.如权利要求2所述的半导体结构的形成方法,其特征在于,所述第一栅极部的形成工艺包括:化学气相沉积工艺;所述化学气相沉积工艺的参数包括:反应气体包括硅源和碳源,硅源包括硅烷,碳源包括乙烯,碳源的气体流量为100cm3/min~500cm3/min,硅源的流量为300cm3/min~1500cm3/min。
4.如权利要求1所述的半导体结构的形成方法,其特征在于,所述金属层的材料包括:镍、钛或者铂,所述第二栅极部的材料包括硅,相应的,金属硅化物层的材料包括:硅镍化合物、钛硅化合物或者铂硅化合物。
5.如权利要求1所述的半导体结构的形成方法,其特征在于,所述退火工艺为快速退火工艺;所述快速退火工艺的参数包括:温度为200摄氏度~400摄氏度,时间为1分钟~3分钟。
6.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第二栅极部全部用于形成金属硅化物层。
7.如权利要求1所述的半导体结构的形成方法,其特征在于,形成所述第一栅极部和第二栅极部之后,形成所述金属层之前,所述形成方法还包括:在所述基底上、以及第一栅极部和第二栅极部的侧壁形成介质层;所述介质层的材料包括:氧化硅。
8.一种半导体结构,其特征在于,包括:
基底;
位于基底上的若干栅极结构,所述栅极结构包括第一栅极部和位于第一栅极部顶部的金属硅化物层,所述第一栅极部中具有第一掺杂离子;
所述金属硅化物层的高度与第一栅极部的高度比为:3:2~4:1。
9.如权利要求8所述的半导体结构,其特征在于,所述第一栅极部的材料包括硅,所述第一掺杂离子包括碳。
10.如权利要求8所述的半导体结构,其特征在于,所述金属硅化物层的材料包括:硅镍化合物、钛硅化合物或者铂硅化合物。
11.如权利要求8所述的半导体结构,其特征在于,所述半导体结构还包括:位于基底表面、以及覆盖第一栅极部和金属硅化物层侧壁的介质层;所述介质层的材料包括氧化硅。
CN201810179086.7A 2018-03-05 2018-03-05 半导体结构及其形成方法 Active CN110233106B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201810179086.7A CN110233106B (zh) 2018-03-05 2018-03-05 半导体结构及其形成方法
US16/289,298 US11024506B2 (en) 2018-03-05 2019-02-28 Semiconductor structure and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810179086.7A CN110233106B (zh) 2018-03-05 2018-03-05 半导体结构及其形成方法

Publications (2)

Publication Number Publication Date
CN110233106A CN110233106A (zh) 2019-09-13
CN110233106B true CN110233106B (zh) 2022-10-25

Family

ID=67767751

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810179086.7A Active CN110233106B (zh) 2018-03-05 2018-03-05 半导体结构及其形成方法

Country Status (2)

Country Link
US (1) US11024506B2 (zh)
CN (1) CN110233106B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113094866B (zh) * 2021-02-25 2022-08-26 全芯智造技术有限公司 半导体工艺的仿真方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3594140B2 (ja) * 2002-06-26 2004-11-24 沖電気工業株式会社 半導体装置の製造方法
JP2004134687A (ja) * 2002-10-15 2004-04-30 Toshiba Corp 半導体装置及びその製造方法
US7056782B2 (en) * 2004-02-25 2006-06-06 International Business Machines Corporation CMOS silicide metal gate integration
KR100654340B1 (ko) * 2004-12-08 2006-12-08 삼성전자주식회사 카본이 포함된 금속 실리사이드 층을 갖는 반도체 소자 및그 제조 방법
EP1905068A2 (en) * 2005-05-31 2008-04-02 Advanced Micro Devices, Inc. Technique for reducing silicide non-uniformities by adapting avertical dopant profile
KR101448154B1 (ko) * 2008-06-30 2014-10-08 삼성전자주식회사 반도체 소자의 게이트 전극의 형성 방법
KR101688614B1 (ko) * 2010-03-04 2016-12-22 삼성전자주식회사 트랜지스터
US10559690B2 (en) * 2014-09-18 2020-02-11 International Business Machines Corporation Embedded source/drain structure for tall FinFET and method of formation

Also Published As

Publication number Publication date
US11024506B2 (en) 2021-06-01
US20190272996A1 (en) 2019-09-05
CN110233106A (zh) 2019-09-13

Similar Documents

Publication Publication Date Title
CN107919327B (zh) 半导体结构及其形成方法
US8252651B2 (en) Method of manufacturing semiconductor device
CN107731753B (zh) 半导体结构的形成方法
US10461079B2 (en) Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
TW201349510A (zh) 積體電路、半導體結構及其製造方法
TWI431723B (zh) 經接觸窗形成於源極/汲極上之自我對準矽化物
CN109427677B (zh) 半导体结构及其形成方法
US20100081246A1 (en) Method of manufacturing a semiconductor
CN110957350A (zh) 半导体装置
JP2015170763A (ja) 半導体装置の製造方法
CN111370306A (zh) 晶体管的制作方法及全包围栅极器件结构
CN110233106B (zh) 半导体结构及其形成方法
US9054041B2 (en) Methods for etching dielectric materials in the fabrication of integrated circuits
CN102983104B (zh) Cmos晶体管的制作方法
US9761679B2 (en) Performance optimized gate structures having memory device and logic device, the memory device with silicided source/drain regions that are raised with respect to silicided source/drain regions of the logic device
CN109545734B (zh) 半导体结构及其形成方法
US20180261461A1 (en) Salicide formation using a cap layer
JP6115243B2 (ja) 半導体装置及び半導体装置の製造方法
CN107579108B (zh) 半导体结构的形成方法
KR20090083654A (ko) 금속 실리사이드를 포함하는 트랜지스터 및 그 제조 방법,이를 이용한 반도체 소자 제조 방법.
CN109786249A (zh) 半导体器件及其形成方法
US9871035B2 (en) Semiconductor device with metal silicide blocking region and method of manufacturing the same
CN111029302A (zh) 半导体器件及其形成方法
TWI756018B (zh) 半導體元件及半導體方法
CN113745111B (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant