JP2007508717A - トレンチを有する複合的パターニング方法及びその装置 - Google Patents

トレンチを有する複合的パターニング方法及びその装置 Download PDF

Info

Publication number
JP2007508717A
JP2007508717A JP2006535573A JP2006535573A JP2007508717A JP 2007508717 A JP2007508717 A JP 2007508717A JP 2006535573 A JP2006535573 A JP 2006535573A JP 2006535573 A JP2006535573 A JP 2006535573A JP 2007508717 A JP2007508717 A JP 2007508717A
Authority
JP
Japan
Prior art keywords
patterning
substrate
layout
array
pitch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006535573A
Other languages
English (en)
Inventor
ボロドヴスキー,ヤン
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2007508717A publication Critical patent/JP2007508717A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70408Interferometric lithography; Holographic lithography; Self-imaging lithography, e.g. utilizing the Talbot effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

基板にプリントするためのシステム及び技術に関する。一実施形態において、本発明に係る方法は、繰返しライン及びライン間スペースの配列に不規則性を導入することにより、実質的に任意の特徴部の配置で基板をパターニングする工程を有する。

Description

本発明はリソグラフィ技術を用いて基板にプリントすることに関する。
様々なリソグラフィ技術が、マイクロエレクトロニクス装置内の集積回路を規定するパターン等をプリントするために用いられ得る。例えば、光リソグラフィ、電子ビームリソグラフィ、紫外線及び極紫外線リソグラフィ、X線リソグラフィ、及びインプリント焼き付け技術は全て、ミクロン及びサブミクロンの大きさの形状を形成するために用いられ得る。
本発明は、高品質な高解像度パターンを形成することが可能なパターニング方法及びその装置を提供することを目的とする。
本発明に係る方法は、繰返しライン及びライン間スペースの配列に不規則性を導入することにより、実質的に任意の特徴部の配置で基板をパターニングすることを有する。
種々の図面中の似通った参照符号は似通った要素を表している。
図1はウェハ100の上面図を示している。ウェハ100は超小型演算装置、チップセット装置、又は記憶装置などの集積回路装置を少なくとも1つ形成するように処理される半導体ウェハである。例えば、ウェハ100はスタティックRAM装置の集合を形成するために用いられ得る。ウェハ100はシリコン、ガリウム砒素、又はインジウム燐を含み得る。
ウェハ100はダイ部分105の配列を有する。ウェハ100はさいの目状にダイシングされ、あるいは、ダイ部分105を分離するようにその他の処理が施され、個々の集積回路装置を形成するためにパッケージ化され得るダイスの集合を形成する。各々のダイ部分105は1つ以上のレイアウト片110を有する。レイアウト片110はダイ部分105のうちのパターンを有する部分である。レイアウト片110内で規定されるパターンは一般に、ダイ部分105から形成される集積回路装置の機能に寄与する。
図2はウェハ100上のレイアウト片110の一部分の断面図である。図2に示された処理段階では、レイアウト片110は基板205、パターン層210、及びレジスト層215を有する。基板205はベースウェハ又は先行する処理中に形成された別の層である。パターン層210はレイアウト片110のうちのパターンが付けられる部分である。パターン層210はマイクロエレクトロニクス装置の全体又は一部を形成するためにパターンが形成される。パターン層210は、例えば二酸化シリコン若しくは窒化シリコン等の電気絶縁体、p型若しくはn型にドープされたシリコン等の半導体材料、又は銅若しくはアルミニウム等の導電層とすることができる。レジスト層215はパターンをプリントするための1つ以上の技術に敏感な材料である。例えば、レジスト層215はポジ型又はネガ型のフォトレジストであり得る。なお、図3乃至12の記載では、レジスト層220はポジ型のフォトレジストであると仮定する。レジスト層215はパターンを形成するために露光され、現像される。図3は潜像(latent image)300を形成するための露光後のレイアウト片110の上面図であり、図4はその断面図である。潜像300の上面は、レイアウト片110の全体又は一部分を占める長さ320及び幅315を有する長方形又は正方形である。潜像300は露光されたライン305及び露光されていないスペース310が交互になったシリーズを有する。ライン305は均一な幅315を有し得る。スペース310は均一な幅320を有し得る。幅315、幅320は等しくてもよいし、等しくなくてもよい。潜像300内のライン305・スペース310はピッチ325を有する。特徴部のピッチとは特徴部の最小の空間周期性である。例えば、ライン305のピッチ325は露光されたライン305の幅315とその隣のスペース310の幅320との和である。ピッチ325は0.5以下のk1因子を生じ得る。k1因子はレイリーの光解像度表現で用いられる用語であり、空気中では次の等式で与えられる。
k1 =(ピッチ/2)・(NA/λ)
ここで、NAは潜像300をプリントした装置の開口数であり、λは潜像300をプリントするために用いられる電磁放射線の波長である。例えば、光学システムの開口数が1に近づくと、k1因子は0.25に近づくことができる。
ライン305は、電子ビームリソグラフィ、干渉リソグラフィ、並びに位相シフトマスク及び光近接補正技術を用いた光リソグラフィ等の数多くの異なるリソグラフィ技術の中の任意の技術を用いて露光され得る。例えば、波長λ1の平行(collimated)干渉ビーム対を用いてレジスト215を露光することによる干渉リソグラフィを用いて、ピッチ325がλ1/2に近いライン305が露光され得る。直交ビーム対は、ビームスプリッタを用いて単一源を分割し、2つの対向するミラーからの反射を干渉させることによって発生され得る。あるいは、前記対は他の干渉技術を用いることによって発生され得る。
ライン305・スペース310は、ライン305を露光するために用いられるリソグラフィ技術の特質を示す特徴部を表示する。例えば、ライン305が干渉リソグラフィを用いて露光されるとき、ライン305・スペース310は、干渉リソグラフィ及び、k1因子の特質を示す解像度を示し得る。ここで、k1因子が0.25に近づくと、投影プリントシステム及びその技術の不完全性のために生じるタイプの形状歪みが最小となる。例えば、ライン305・スペース310は、マスク、レンズ、投影光学系を用いること、及び/又は電子の後方散乱、のために生じる不完全性を伴うことなく形成され得る。ライン305・スペース310はまた、干渉リソグラフィ技術によってもたらされる比較的大きな焦点深度による影響も示すことができる。例えば、干渉リソグラフィ技術による比較的大きな焦点深度は、特に、高い開口数が視野深度と、理想的な平坦度を有さない実世界の基板にプリントする能力との双方を制限している光学システムと比較して、特徴部の本質を示す寸法を正確に制御することを可能とする。
ライン305・スペース310は、ウェハ100上のレイアウト片110の付加的な特徴部の形状を定めるために用いることができる。例えば、図5に示されるように、レジスト層215はトレンチ505のシリーズを定めるために現像され得る。レジスト層215は必要に応じてベーキング又はキュアされ、そして、図6に示されるように第2のレジスト層605がレジスト層215上に形成され得る。レジスト層605はトレンチ505を充填してもよいし、上を覆ってもよい。レジスト層605は、例えばウェハ100上のフォトレジストをスピンコートすることによって形成され得る。
レジスト層605は、レジスト層215上に直接形成されてもよいし、層間保護層(図示せず)上に形成されてもよい。保護層はその後に不要な露光がされないように層205を保護するために充分高い吸収係数を有する。保護層はまた、層215と層605とが接触するのを防ぎ、それらを分離する機能も果たし得る。
図7は、潜像700を形成するためにレジスト層605が露光された後のレイアウト片110の上面図、図8はその断面図である。潜像700は1つ以上の露光されない領域705、710、715、720を有し得る。潜像700は任意に形作られ、その中で非露光領域705、710、715、720は繰返しの整列又は配置を含む必要はない。非露光領域705、710、715、720は所望の大きさとされ、1つ又はそれ以上のトレンチ505を跨ぐようにトレンチ505にそれぞれ位置付けられる。非露光領域705、710、715、720はトレンチ505に沿って任意の位置で1つ又はそれ以上のトレンチ505を跨ぎ得る。
潜像700内の非露光領域705、710、715、720はピッチ725で形成され得る。領域ピッチ725は、領域720の幅と隣の最も近い領域705、715への最短の距離との和である。例えば、領域要素ピッチ730はラインピッチ325の2倍の大きさとなり得る。領域ピッチ730はこのように0.5より大きい又は等しいk1因子を生じる。例えば、同じ放射電磁波の波長が用いられると仮定すると、領域ピッチ725ではk1因子は0.7より大きくなる。
領域ピッチ725は比較的大きなk1因子を生じるので、潜像700は、ライン305を露光するために用いられるリソグラフィシステム及びその技術と比較して、低い解像度を有するリソグラフィシステム及びその技術を用いて形成され得る。例えば、もしライン305が、0.25にほぼ等しいk1因子と波長λ1とを有する干渉リソグラフィシステムを用いて形成されるとすると、潜像700は同一の波長λ1と0.5より大きいk1因子とを有する光リソグラフィシステムを用いて形成され得る。例えば、潜像700は古くからのバイナリー光リソグラフィシステム又は、実現可能な解像度及びライン305・スペース310と潜像700との間の重ね合わせ精度が低い光投影リソグラフィ等の他のリソグラフィシステムを用いて形成され得る。
潜像700によってトレンチ505を露出又は遮蔽することは、レジスト605を硬化した後、トレンチ505の繰返し配列に不規則性を導入するために用いることができる。言い換えれば、潜像700の任意の形状が、レイアウト片110内の特徴部の周期的な再現を止めるために用いることができる。例えば、1つ又はそれ以上のトレンチ505の連続性がトレンチ505に沿って任意の位置で終わらされる。
図9及び10は、追加処理を行った後の図8と同一面に沿った断面図である。詳細には、図9はレジスト層が現像された後、選択されたトレンチ505を跨ぐ領域705、710、715、720が残されたレイアウト片110を示している。レジスト層605は必要に応じてベーキングされ、図10に示されるように、レイアウト片110のパターン層210内のトレンチ1005の形状を示すためにエッチングが為される。例えば、トレンチ1005はドライプラズマエッチングを用いて形状を定められる。トレンチ1005はライン305の特徴を受け継ぐ。ここで、ライン305の特徴とは、ライン305を露光するために用いられるリソグラフィ技術に特有のものである。例えば、ライン305が干渉リソグラフィを用いて露光されるとき、トレンチ1005は干渉リソグラフィ及びk1因子の特質を示す解像度を受け継ぐ。ここで、k1因子が0.25に近づくと、投影プリントシステム及びその技術の不完全性によって生じるタイプの形状歪みが最小になる。
図11は、レジスト層220、605(領域705、710、715、720も含む)が剥離された後のレイアウト片110の上面図、図12はその断面図である。レジスト除去後、レイアウト片110のパターン層210は、不規則なトレンチ1005の任意の配列を有する。これは、不規則性が、潜像300に固有の繰返しに導入されたことによる。トレンチ1005は潜像300を形成するために用いられるリソグラフィ技術で利用し得るピッチによって制限されるピッチ325を有する。潜像300に不規則性が導入された後、小さなピッチである潜在するライン305の少なくとも幾つかの連続性が削除されている。この連続性の削除は、マイクロエレクトロニクスデバイスを製造する際に用いるレイアウトパターンを形成する結果となり得る。
図13乃至20はラインの複合的なパターニングのためのもう1つの技術を例示している。詳細には、図13はネガ型フォトレジスト層1310を有するレイアウト片1305の断面図を示している。ネガ型レジスト層1310は潜像1315を形成するために露光されている。潜像1315は、露光されたライン1320及び露光されていないスペース1325が交互になったシリーズを有する。ライン1320は均一な幅1330を有する。スペース1325は均一な幅1335を有する。幅1330と幅1335とは等しくてもよいし、等しくなくてもよい。潜像1315内のライン1320はピッチ1340を有する。ラインピッチ1340は0.35より小さいk1因子を生じ得る。K1因子は0.31より小さくもなり得る。例えば、k1因子は0.25に近づくことができる。
ライン1320は、電子ビームリソグラフィ、干渉リソグラフィ、並びに位相シフトマスク及び光近接補正技術を用いた光リソグラフィ等の数多くの異なるリソグラフィ技術の中の任意の技術を用いて露光され得る。例えば、ライン1320は波長λ1の干渉平行レーザビーム対を用いて露光され、λ1/2に等しいピッチ1340を有するライン1320を露光し得る。
ライン1320・スペース1325は、ライン1320を露光するために用いられるリソグラフィ技術の特質を示す特徴部を表示する。例えば、ライン1320が干渉リソグラフィを用いて露光されるとき、ライン1320は、干渉リソグラフィ及びk1因子の特質を示す解像度を有する。ここで、k1因子が0.25に近づくと、投影プリントシステム及びその技術の不完全性のために生じるタイプの形状歪みが最小となる。スペース1325はまた、干渉リソグラフィ技術によってもたらされる比較的大きな焦点深度による影響も示すことができる。
露光されないスペース310は、ウェハ1310上のレイアウト片1305の付加的な特徴部の形状を定めるために用いることが可能である。図14は、レジスト層1310が2回露光され、非露光スペース305の領域1405、1410、1415、1420を露光した後の、レイアウト片1305の上面図であり、図15はその断面図である。露光領域1405、1410、1415、1420は任意の形状とされ、繰返しの整列又は配置を含む必要はない。露光領域1405、1410、1415、1420は所望の大きさとされ、スペース1325に沿って任意の位置にあるスペース1325の部分を露光するために、露光されたライン1320及び露光されていないスペース1325の領域に対してそれぞれ位置づけられる。この露光は露光されないスペース1325の連続性を分断し、それによって、潜在するライン1320、1325の繰返し配列に不連続性を導入することができる。
露光領域1405、1410、1415、1420はピッチ1425で形成され得る。領域ピッチ1425は、領域1420の幅1430と隣の最も近い領域1405、1415への最短の距離1435との和である。例えば、領域要素ピッチ1430はラインピッチ1340の1.5倍の大きさとなり得る。領域ピッチ1430はこのように0.4より大きいk1因子を生じる。例えば、同じ放射電磁波の波長が用いられると仮定すると、領域ピッチ1430ではk1因子は0.7より大きくなる。
領域ピッチ1430は比較的大きなk1因子を生じるので、領域1405、1410、1415、1420は、ライン1325を露光するために用いられるリソグラフィシステム及びその技術と比較して、低い解像度を有するリソグラフィシステム及びその技術を用いて形成され得る。例えば、もしライン1325が、0.25にほぼ等しいk1因子と波長λ1を有する干渉リソグラフィシステムを用いて形成されるとすると、領域1405、1410、1415、1420は同一の波長λ1と0.5にほぼ等しいk1因子を有する光学リソグラフィシステムを用いて形成され得る。例えば、領域1405、1410、1415、1420は古くからのバイナリー光リソグラフィシステム又は、実現可能な解像度及びライン305・スペース310と領域1405、1410、1415、1420との間の重ね合わせ精度が低いインプリント・電子ビームリソグラフィシステム又は直接描画の光又は電子ビーム等の他のリソグラフィシステムを用いて形成され得る。
図16は、トレンチ1605のシリーズを規定するレジスト層1310がベーキング及び現像された後のレイアウト片1305の断面図である。図17に示されるように、レイアウト片110のパターン層210内のトレンチ1705の形状を定めるためにエッチングが為される。例えば、トレンチ1705はドライプラズマエッチングを用いて形状が定められる。トレンチ1705はライン1320・スペース1325の特徴を受け継ぐ。ここで、この特徴は、ライン1320を露光するために用いられるリソグラフィ技術に特有のものである。例えば、ライン1320が干渉リソグラフィを用いて露光されるとき、トレンチ1705は干渉リソグラフィ及びk1因子の特質を示す解像度を受け継ぐ。ここで、k1因子が0.25に近づくと、投影プリントシステム及びその技術の不完全性によって生じるタイプの形状歪みが最小になる。
図18は、レジスト層1310(露光領域1405、1410、1415、1420を含む)が剥離された後のレイアウト片の上面図、図19はその断面図である。レジスト層1310除去後、レイアウト片110のパターン層210は、不規則なトレンチ1705の任意の配列を有する。これは、不規則性が潜像1315に固有の繰返しに導入されたことによる。トレンチ1705は潜像1315を形成するために用いられるリソグラフィ技術で利用し得るピッチによって制限されるピッチ1340を有する。潜像1315に不規則性が導入された後、ウェハ100上の小さなピッチを有する潜在するスペース1325の少なくとも幾つかの連続性が削除されている。その結果、マイクロエレクトロニクスデバイスに用いられるレイアウトパターンが形成され得る。
図20は複合的な光リソグラフィシステム2000である。システム2000は周囲の囲い2005を有する。囲い2005はクリーンルーム又は、基板に形状をプリントするのに適したその他の場所とし得る。囲い2005はまた、環境安定性、並びに、浮遊微小粒子及びその他のプリント欠陥要因に対する保護の両方を提供するために、クリーンルーム内に置かれた専用の囲まれたシステムとしてもよい。
囲い2005は干渉リソグラフィシステム2010及びパターニングシステム2015を囲む。干渉リソグラフィシステム2010は、共同して基板の干渉パターニングを提供する平行(collimated)電磁放射線源2020及び干渉光学系2025を有する。パターニングシステム2015は、多数の異なる基板パターニング方法の内の何れを用いてもよい。例えば、パターニングシステム2015は電子ビーム投影システム、インプリント焼き付けシステム、又は光投影リソグラフィシステムとすることができる。パターニングシステム2015はまた、電子ビーム直接描画モジュール、イオンビーム直接描画モジュール、又は光直接描画モジュール等のマスクレスのモジュールとしてもよい。システム2010、2015は共通のマスク搬送サブシステム2030、共通のウェハ搬送サブシステム2035、共通の制御サブシステム2040、及び共通のステージ2045を共有する。マスク搬送サブシステム2030はマスクをシステム2000内で位置合わせする装置である。ウェハ搬送サブシステム2035はウェハをシステム2000内で位置合わせする装置である。制御サブシステム2040は1つまたはより多くのシステム2000内の特性又は装置を長期にわたって統制する装置である。例えば、制御システム2040はシステム2000内の装置の位置若しくは動作、又は、周囲の囲い2005内の温度若しくはその他の環境特性を統制する。
制御システム2040はまた、ステージ2045を第1の位置2050と第2の位置2055との間で移動させる。ステージ2045はウェハをしっかり掴むためのチャック2060を有する。第1の位置2050で、ステージ2045及びチャック2060は、掴んだウェハをパターニングシステム2015にパターニング処理のために差し出すことができる。第2の位置2055では、ステージ2045及びチャック2060は、掴んだウェハを干渉リソグラフィシステム2010に干渉パターニング処理のために差し出すことができる。
チャック2060及びステージ2045によるウェハの適切な位置合わせを確実にするため、制御サブシステム2040は位置合わせセンサー2065を有する。位置合わせセンサー2065はウェハの位置を(例えば、ウェハのアライメントマークを用いて)変換・制御し、干渉リソグラフィシステム2010用いて形成されたパターンを、パターニングシステム2015で形成されたパターンに揃えることができる。このような位置合わせは、上述のように干渉形状の繰返し配列の中に不規則性を導入したとき、利用することができる。
図21はパターニングシステム2015の光リソグラフィの実施例を示している。詳細には、パターニングシステム2015はステップ・リピート式投影装置とし得る。そのようなパターニングシステム2015は照明系2105、マスクステージ2100、及び投影光学系2115を有する。照明系2105は電磁放射線源2120及びアパーチャ・コンデンサ2125を有する。放射線源2120は放射線源2020と同一としてもよいし、完全に異なるデバイスとしてもよい。放射線源2120は放射線源2020と同一の又は異なる波長を放射する。アパーチャ・コンデンサ2125は、マスクステージ2100上での投影の均一性を高めるために、放射線源2020からの電磁放射を集め、平行とし、フィルターし、かつ焦点を合わせるための1つまたはより多くのデバイスを有する。パターニングシステム2015はまた、必要に応じて、投影システムの瞳内の照明を成形するために、瞳フィリング成形光学系(pupil filling shaping optics)(図示せず)を有する。
マスクステージ2100はマスク2130を投影経路内で支持する。投影光学系2105は画像の大きさを縮小するための装置を有する。投影光学系2105はフィルタリング投影レンズを有する。ステージ2045は、照明系2105によって、マスクステージ2100及び投影光学系2115を介して露光するために、掴んだウェハを繰り返し移動させることができる。位置合わせセンサー2065は、露光が干渉形状の繰返し配列に揃えられることを確実にし、繰返し配列に不規則性を導入する。
図22は複合的パターニングで用いられるマスクレイアウトを発生させるための処理2200を示している。処理2200は装置製造業者、マスク製造業者、又は半導体ファウンドリ等の単独又は協力して行動する1以上の主体によって遂行される。処理2200はまた、その全体又は一部が、機械が読取可能な命令セットを実行するデータ演算装置によって遂行され得る。
処理2200を遂行する前記主体は、2205で設計レイアウトを受け取る。設計レイアウトとは処理後の基板が所望する物理的設計である。設計レイアウトは機械で読取可能な形式で受け取られる。受け取られた設計レイアウトはレイアウト片の所望の物理的設計を含む。レイアウト片の物理的設計はトレンチ及びトレンチ間のランドの集合を有する。トレンチ及びランドは直線状かつ平行である。トレンチ及びランドはレイアウト片全体にわたり規則的に繰り返される必要はない。例えば、トレンチの連続性はレイアウト片の任意の位置で分断されてもよい。図23はこのような設計レイアウト2300を示している。
図22を参照すると、2210で処理2200を遂行する前記主体は干渉パターン配列レイアウトを受け取る。干渉パターン配列レイアウトとは電磁放射線の干渉によって基板に形成される所望のパターンである。干渉パターン配列レイアウトは機械で読取可能な形式で受け取られる。干渉パターン配列レイアウトは干渉リソグラフィ技術を用いて形成されることが意図される。例えば、干渉パターン配列は平行なライン及びそのライン間のスペースの配列とし得る。図24はこのような干渉パターン配列レイアウト2400の一例を示している。
図22を参照すると、2215で前記主体は設計レイアウトと干渉パターン配列レイアウトとの間の差異を導出することができる。設計レイアウトと干渉パターン配列レイアウトとの間の差異を導出することは、設計レイアウト内のトレンチを、干渉パターン配列レイアウト内のライン又はスペースに位置合わせすること、並びに、設計レイアウト内の不規則性が干渉パターン配列レイアウトと完全に重なることを妨げている位置を導出することを有する。
前記導出は、設計レイアウトが干渉パターン配列レイアウトと完全には重ならない位置を表す残余レイアウトを生じることが可能である。残余レイアウトは機械で読取可能な形式である。前記差異は残余レイアウト内の位置が2つの取り得る状態の内の1つしか取れないブール(Boolean)である。
図25は残余レイアウト2500の一例を示している。残余レイアウト2500はブール差である。詳細には、残余レイアウト2500は重ならない状態にある第1の区域2505と、重なる状態にある切れ目のない第2の区域2510を有する。
図22に戻り、2220で前記主体は残余レイアウト内の区域のサイズ変更を行い得る。残余レイアウトのサイズ変更は、変更された機械で読取可能な残余レイアウトをもたらす。例えば、干渉パターン配列は平行なライン・スペースの配列であるとき、現状の区域の大きさはライン・スペースに垂直な方向に拡大される。図26は、このようにD方向に拡大された後の残余レイアウト2500を示している。幾つかの区域が結合されている。
図22に戻り、2225で前記主体は残余レイアウトを用いてプリントマスクを作成することができる。プリントマスクはサイズ変更された残余レイアウトから作成され、干渉パターン配列等の繰返し配列に不規則性を導入するための、任意形状をした特徴部を作り出す。プリントマスクの作成は、プリントマスクレイアウトの機械で読取可能な記述を作成することを有する。プリントマスクの作成はまた、マスク基板にプリントマスクを明白に具現化することを有する。
複合的パターニングは有利であることがわかる。例えば、単一のレイアウト片は、高解像度システム又はその技術を用いて特徴部のパターンが付けられ、そして、それら特徴部の影響は低解像度システム又はその技術を用いて修正又は削除さえもされ得る。例えば、旧世代の一般的に低解像度の装置が、高解像度の特徴部の影響を修正するために用いられることが可能であり、旧装置の寿命を延ばすことができる。高解像度システムは高解像度の特徴部の製造に充てる一方で、高解像度の特徴部の連続性の修正には低価格の低解像度システムを用いることによって、パターン密度が増加され、処理コストが削減される。例えば、高解像度だが比較的廉価な干渉システムが、比較的廉価な低解像度システムと結合されて、多額の設備投資なしで、高品質な高解像度パターンを形成することが可能となる。干渉システムを用いて形成されたパターンの配置は低解像度システムで変更できるので、干渉システムの適用性が向上する。特に、干渉システムは干渉パターンの形状や配置によって制約されない任意の特徴部の配置を形成するために用いられ得る。
実施について多く記載してきたが、それにもかかわらず、様々な変更が為されることが理解されるであろう。例えば、ポジ型及びネガ型のレジストの両方が用いられ得る。異なる波長を利用するリソグラフィ技術が、同一基板を処理するために用いられ得る。半導体基板以外の基板がパターニングされ得る。従って、その他の実施は添付の請求項の範囲に含まれる。
ウェハの上面図である。 処理中のウェハ上のレイアウト片の一部分の断面図である。 繰返しラインの配列の潜像を形成するための露光及び現像後のレイアウト片の上面図である。 図3のレイアウト片の断面図である。 追加処理を行った後の図4と同一面に沿った断面図である。 追加処理を行った後の図4及び5と同一面に沿った断面図である。 パターンを形成するための露光後のレイアウト片の上面図である。 図7のレイアウト片の断面図である。 追加処理を行った後の図8と同一面に沿った断面図である。 追加処理を行った後の図8及び9と同一面に沿った断面図である。 剥離後のレイアウト片の上面図である。 図11のレイアウト片の断面図である。 ネガ型フォトレジスト層を含むレイアウト片の断面図である。 第2の露光を行った後のレイアウト片の上面図である。 図14のレイアウト片の断面図である。 追加処理を行った後の図15と同一面に沿った断面図である。 追加処理を行った後の図15及び16と同一面に沿った断面図である。 剥離後のレイアウト片の上面図である。 図18のレイアウト片の断面図である。 複合的光リソグラフィシステムを示すブロック図である。 図20の複合的光リソグラフィシステム内のパターニングシステムを示すブロック図である。 マスクのレイアウトを発生する処理のフローチャートである。 設計レイアウトを示す平面図である。 干渉パターン配列レイアウトを示す平面図である。 図24の干渉パターン配列レイアウトと図23の設計レイアウトとの差異を示す残余レイアウトを示す平面図である。 図25の残余レイアウトをサイズ変更した後のレイアウトを示す平面図である。

Claims (36)

  1. 繰返しライン及び該ライン間のスペースの配列に不規則性を導入することにより、実質的に任意の特徴部の配置で基板をパターニングする工程を有する方法。
  2. 請求項1に記載の方法であって、前記不規則性を導入することが前記配列上に任意の特徴部を形成する工程を有する方法。
  3. 請求項2に記載の方法であって、基板をパターニングする工程がさらに、前記任意の特徴部によって覆われていない前記配置の部分を介して基板をエッチングする工程を有する方法。
  4. 請求項1に記載の方法であって、前記配列が干渉リソグラフィシステムを用いて形成され、前記不規則性を導入することが前記配列の少なくとも1つの部分の連続性を低下させる工程を有する方法。
  5. 請求項4に記載の方法であって、前記配列の前記部分の連続性を低下させる工程が前記配列のスペースを切断する工程を有する方法。
  6. 請求項1に記載の方法であって、前記不規則性を導入することが投影リソグラフィパターニングの結果による前記配列の部分の連続性を低下させる工程を有する方法。
  7. 請求項1に記載の方法であって、前記基板をパターニングする工程がさらに、前記基板をエッチングする工程を有し、該エッチングが実質的に任意の前記配置に導かれる方法。
  8. 請求項1に記載の方法であって、前記基板をパターニングする工程がさらに、0.4以下のk1因子を生ずるピッチを有する実質的に任意の前記配置で前記基板をパターニングする工程を有する方法。
  9. 実質的に任意のトレンチの配置を有し、該トレンチが干渉リソグラフィの特質を示す解像度で形状を定められるデバイス。
  10. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、前記トレンチであり、当該トレンチに沿って異なる位置で不連続性を有するトレンチを有するデバイス。
  11. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、0.5以下のk1因子を生ずるピッチでプリントされた特徴部を有するデバイス。
  12. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、単一のパターニングステップのために、0.25にほぼ等しいk1因子を生ずるピッチを有するトレンチを有するデバイス。
  13. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、レンズの不完全性及びマスクの不完全性の1つ又は双方のために生ずる欠陥のないトレンチを有するデバイス。
  14. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、電子の後方散乱のために生ずる欠陥のないトレンチを有するデバイス。
  15. 請求項9に記載のデバイスであって、実質的に任意の前記トレンチの配置が、マイクロエレクトロニクスデバイスの一部分を有するデバイス。
  16. 基板に当該基板に繰返しライン及びスペースを与える干渉パターンを照射するために電磁放射線を干渉させる工程;及び
    任意の特徴部の配置を前記基板に与えるために前記干渉パターンに不規則性を導入する工程;
    を有する方法。
  17. 請求項16に記載の方法であって、前記不規則性を導入する工程が、トレンチの連続性を該トレンチに沿って任意の位置で終わらせる工程を有する方法。
  18. 請求項16に記載の方法であって、前記不規則性を導入する工程が、前記繰返しライン及びスペースの幾らかの部分上に任意図形を形成する工程を有する方法。
  19. 請求項16に記載の方法であって、前記不規則性を導入する工程が、前記繰返しライン及びスペースの幾らかの部分に任意図形を形成する工程を有する方法。
  20. 請求項17に記載の方法であって、任意の特徴部の前記配置の形状を定めるために、任意図形を用いて前記基板をパターニングする工程をさらに有する方法。
  21. 請求項16に記載の方法であって、前記電磁放射線を干渉させる工程が、ほぼ0.25に等しいk1因子を生ずるピッチを有する第1特徴部を単一のパターニングステップで前記基板に与えることを有する方法。
  22. 0.5以下のk1因子を生ずる第1ピッチを有するライン及びスペースを提供するように第1のリソグラフィ技術を用いて基板をパターニングする工程;及び
    前記第1ピッチの2倍以上の大きさの第2ピッチを有する第2特徴部を提供する第2のリソグラフィ技術を用いて、前記基板の前記ライン及びスペースの1つ以上の部分の少なくとも幾つかの影響を排除する工程;
    を有する方法。
  23. 請求項22に記載の方法であって、第1のリソグラフィ技術を用いて前記基板をパターニングする工程が、単一のパターニングステップのために、ほぼ0.25に等しい第1のk1因子を生ずる前記第1ピッチを有する第1のライン及びスペースを提供する工程を有する方法。
  24. 請求項22に記載の方法であって、第1のリソグラフィ技術を用いて前記基板をパターニングする工程が、干渉リソグラフィを用いて前記基板をパターニングする工程を有する方法。
  25. 請求項22に記載の方法であって、前記影響を排除する工程が、バイナリーマスクを用いてパターニングする工程を有する方法。
  26. 請求項22に記載の方法であって、前記影響を排除する工程が、0.5より大きい第2のk1因子を生ずる前記第2ピッチを有する前記第2特徴部を提供する第2のリソグラフィ技術を用いる工程を有する方法。
  27. 請求項22に記載の方法であって、前記影響を排除する工程が、任意図形を幾つかの前記スペース上にプリントする工程を有する方法。
  28. 請求項27に記載の方法であって、前記影響を排除する工程が、前記任意図形によって覆われていない前記基板の一部分をエッチングする工程を有する方法。
  29. 請求項27に記載の方法であって、前記影響を排除する工程が、前記ライン及びスペースの少なくとも1つ以上の部分の連続性を終わらせる工程を有する方法。
  30. 感光性媒体に繰返しの特徴部の配列をもたらす第1の露光を行うための干渉露光モジュール;及び
    前記配列の前記特徴部の規則性を低下させるための第2のパターニングモジュール;
    を有する装置。
  31. 請求項30に記載の装置であって、前記第2のパターニングモジュールによって生成された第2の露光パターンを前記配列に位置合わせするための位置合わせセンサーをさらに有する装置。
  32. 請求項30に記載の装置であって、前記干渉露光モジュール及び前記第2のパターニングモジュールを統制するための共通制御システムをさらに有する装置。
  33. 請求項30に記載の装置であって、前記干渉露光モジュール及び前記第2のパターニングモジュールにウェハを差し出すための共通ウェハステージをさらに有する装置。
  34. 請求項30に記載の装置であって:
    前記干渉露光モジュールが干渉リソグラフィモジュールを有し;かつ
    前記第2のパターニングモジュールが、前記干渉露光モジュールによって創出される前記配列の規則性を低下させるためのマスク、投影光学系、及びウェハステージを有する投影光リソグラフィシステムを有する;
    装置。
  35. レイアウト片の設計レイアウトを受け取る工程;
    干渉パターン配列レイアウトを受け取る工程;
    前記設計レイアウトと前記干渉パターン配列レイアウトとの間の差異を導出する工程;及び
    導出された前記差異を用いてプリントマスクを作成する工程;
    を有する方法。
  36. 請求項35に記載の方法であって、前記プリントマスクを作成する工程が、前記設計レイアウトと前記干渉パターン配列レイアウトとの間の前記差異を反映する残余配列のサイズ変更をする工程を有する方法。
JP2006535573A 2003-10-17 2004-10-07 トレンチを有する複合的パターニング方法及びその装置 Pending JP2007508717A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/688,337 US20050085085A1 (en) 2003-10-17 2003-10-17 Composite patterning with trenches
PCT/US2004/033432 WO2005083513A2 (en) 2003-10-17 2004-10-07 Composite patterning with trenches

Publications (1)

Publication Number Publication Date
JP2007508717A true JP2007508717A (ja) 2007-04-05

Family

ID=34521148

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006535573A Pending JP2007508717A (ja) 2003-10-17 2004-10-07 トレンチを有する複合的パターニング方法及びその装置

Country Status (7)

Country Link
US (1) US20050085085A1 (ja)
JP (1) JP2007508717A (ja)
KR (1) KR100845347B1 (ja)
CN (1) CN1894633A (ja)
DE (1) DE112004001942T5 (ja)
TW (1) TWI246111B (ja)
WO (1) WO2005083513A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012064939A (ja) * 2010-09-14 2012-03-29 Nikon Corp パターン形成方法及びデバイス製造方法
JP2013533611A (ja) * 2010-06-01 2013-08-22 コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ ピッチを2倍にするリソグラフィ方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US20050073671A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
JP2005181523A (ja) * 2003-12-17 2005-07-07 Toshiba Corp 設計パターン補正方法、マスクパターン作成方法、半導体装置の製造方法、設計パターン補正システム、及び設計パターン補正プログラム
DE102004009173A1 (de) * 2004-02-25 2005-09-15 Infineon Technologies Ag Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer
US7335583B2 (en) * 2004-09-30 2008-02-26 Intel Corporation Isolating semiconductor device structures
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US8582079B2 (en) * 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US20090117491A1 (en) * 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US20100187611A1 (en) * 2009-01-27 2010-07-29 Roberto Schiwon Contacts in Semiconductor Devices
DE102010026490A1 (de) 2010-07-07 2012-01-12 Basf Se Verfahren zur Herstellung von feinstrukturierten Oberflächen
US8642232B2 (en) * 2011-11-18 2014-02-04 Periodic Structures, Inc. Method of direct writing with photons beyond the diffraction limit
JP2013145863A (ja) 2011-11-29 2013-07-25 Gigaphoton Inc 2光束干渉装置および2光束干渉露光システム
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9710592B2 (en) 2014-05-23 2017-07-18 International Business Machines Corporation Multiple-depth trench interconnect technology at advanced semiconductor nodes

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5983111A (ja) * 1982-11-04 1984-05-14 Sumitomo Electric Ind Ltd 光集積回路作製法
JPH1167640A (ja) * 1997-08-20 1999-03-09 Nec Corp 露光方法及び露光用マスク
JPH11112105A (ja) * 1997-10-03 1999-04-23 Hitachi Ltd 半導体レーザ装置の製造方法、及びそれを用いて製造した光モジュール並びに光応用システム
JPH11143085A (ja) * 1997-11-06 1999-05-28 Canon Inc 露光方法及び露光装置
JP2000021719A (ja) * 1998-06-30 2000-01-21 Canon Inc 露光方法及び露光装置
JP2000031035A (ja) * 1998-05-02 2000-01-28 Canon Inc 露光装置及びデバイスの製造方法
JP2000066370A (ja) * 1998-08-24 2000-03-03 Canon Inc マスクパターン作成方法および装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4517280A (en) * 1982-11-04 1985-05-14 Sumitomo Electric Industries, Ltd. Process for fabricating integrated optics
US5041361A (en) * 1988-08-08 1991-08-20 Midwest Research Institute Oxygen ion-beam microlithography
US5328807A (en) * 1990-06-11 1994-07-12 Hitichi, Ltd. Method of forming a pattern
US5415835A (en) * 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5705321A (en) * 1993-09-30 1998-01-06 The University Of New Mexico Method for manufacture of quantum sized periodic structures in Si materials
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5759744A (en) * 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
AU3222397A (en) * 1996-06-10 1998-01-07 Holographic Lithography Systems Process for modulating interferometric lithography patterns to record selected discrete patterns in photoresist
US6233044B1 (en) * 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
EP0880078A3 (en) * 1997-05-23 2001-02-14 Canon Kabushiki Kaisha Position detection device, apparatus using the same, exposure apparatus, and device manufacturing method using the same
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
EP0964305A1 (en) * 1998-06-08 1999-12-15 Corning Incorporated Method of making a photonic crystal
JP4065468B2 (ja) * 1998-06-30 2008-03-26 キヤノン株式会社 露光装置及びこれを用いたデバイスの製造方法
US6140660A (en) * 1999-03-23 2000-10-31 Massachusetts Institute Of Technology Optical synthetic aperture array
JP2000315647A (ja) * 1999-05-06 2000-11-14 Mitsubishi Electric Corp レジストパターン形成方法
US6553558B2 (en) * 2000-01-13 2003-04-22 Texas Instruments Incorporated Integrated circuit layout and verification method
WO2002025373A2 (en) * 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
JP2003151875A (ja) * 2001-11-09 2003-05-23 Mitsubishi Electric Corp パターンの形成方法および装置の製造方法
WO2003071587A1 (en) * 2002-02-15 2003-08-28 University Of Delaware Process for making photonic crystal circuits using an electron beam and ultraviolet lithography combination
AU2002324868A1 (en) * 2002-03-04 2003-09-29 Massachusetts Institute Of Technology A method and system of lithography using masks having gray-tone features
US7005235B2 (en) * 2002-12-04 2006-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and systems to print contact hole patterns
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
US20050073671A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5983111A (ja) * 1982-11-04 1984-05-14 Sumitomo Electric Ind Ltd 光集積回路作製法
JPH1167640A (ja) * 1997-08-20 1999-03-09 Nec Corp 露光方法及び露光用マスク
JPH11112105A (ja) * 1997-10-03 1999-04-23 Hitachi Ltd 半導体レーザ装置の製造方法、及びそれを用いて製造した光モジュール並びに光応用システム
JPH11143085A (ja) * 1997-11-06 1999-05-28 Canon Inc 露光方法及び露光装置
JP2000031035A (ja) * 1998-05-02 2000-01-28 Canon Inc 露光装置及びデバイスの製造方法
JP2000021719A (ja) * 1998-06-30 2000-01-21 Canon Inc 露光方法及び露光装置
JP2000066370A (ja) * 1998-08-24 2000-03-03 Canon Inc マスクパターン作成方法および装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013533611A (ja) * 2010-06-01 2013-08-22 コミシリア ア レネルジ アトミック エ オ エナジーズ オルタネティヴズ ピッチを2倍にするリソグラフィ方法
JP2012064939A (ja) * 2010-09-14 2012-03-29 Nikon Corp パターン形成方法及びデバイス製造方法

Also Published As

Publication number Publication date
US20050085085A1 (en) 2005-04-21
TWI246111B (en) 2005-12-21
WO2005083513A2 (en) 2005-09-09
TW200518171A (en) 2005-06-01
WO2005083513A3 (en) 2006-01-26
CN1894633A (zh) 2007-01-10
DE112004001942T5 (de) 2006-08-10
KR100845347B1 (ko) 2008-07-09
KR20060096110A (ko) 2006-09-06

Similar Documents

Publication Publication Date Title
JP2007508717A (ja) トレンチを有する複合的パターニング方法及びその装置
KR100906788B1 (ko) 복합 프린팅 방법, 장치 및 시스템
TWI307828B (en) Optical lithography system and method
JP2710967B2 (ja) 集積回路装置の製造方法
TWI261732B (en) Composite optical lithography method for patterning lines of significantly different widths
US20050118514A1 (en) Method of the adjustable matching map system in lithography
JP2001022051A (ja) レチクル及び半導体装置の製造方法
JP2000331928A (ja) リソグラフ方法
US4610948A (en) Electron beam peripheral patterning of integrated circuits
US20080182082A1 (en) Pattern forming method, electronic device manufacturing method and electronic device
US7229723B2 (en) Method for forming an opening in a light-absorbing layer on a mask
JP4804802B2 (ja) フォトマスク及びこれを用いたパターン製造方法
US9658531B2 (en) Semiconductor device resolution enhancement by etching multiple sides of a mask
JPH0787174B2 (ja) パタ−ン形成方法
JP5075337B2 (ja) 半導体基板をプラズマエッチングしてマスクを作るための装置
US8035802B2 (en) Method and apparatus for lithographic imaging using asymmetric illumination
KR100728947B1 (ko) 반도체소자용 레티클을 이용한 노광방법
JP2014232809A (ja) ウェーハ欠陥補正方法、及び半導体製造装置
KR20020031205A (ko) 미세패턴 형성용 노광마스크 및 미세감광막패턴 형성방법
US20090263731A1 (en) Method for Fabricating Fine Pattern in Photomask
JP2005129647A (ja) ステンシルマスク、露光方法および半導体装置の製造方法
KR20060077770A (ko) 보조 패턴을 갖는 포토 마스크
JP2005183692A (ja) マスク作成装置
JP2000174020A (ja) 半導体装置の製造方法
KR20060037717A (ko) 미세 패턴 간격을 갖는 포토 마스크 및 그 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090915

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091216

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100316