JP2006503291A5 - - Google Patents

Download PDF

Info

Publication number
JP2006503291A5
JP2006503291A5 JP2004544893A JP2004544893A JP2006503291A5 JP 2006503291 A5 JP2006503291 A5 JP 2006503291A5 JP 2004544893 A JP2004544893 A JP 2004544893A JP 2004544893 A JP2004544893 A JP 2004544893A JP 2006503291 A5 JP2006503291 A5 JP 2006503291A5
Authority
JP
Japan
Prior art keywords
gas
sensing
species
sensor
wire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004544893A
Other languages
English (en)
Other versions
JP4488897B2 (ja
JP2006503291A (ja
Filing date
Publication date
Priority claimed from US10/273,036 external-priority patent/US7080545B2/en
Application filed filed Critical
Publication of JP2006503291A publication Critical patent/JP2006503291A/ja
Publication of JP2006503291A5 publication Critical patent/JP2006503291A5/ja
Application granted granted Critical
Publication of JP4488897B2 publication Critical patent/JP4488897B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

半導体処理システムにおいてフッ素種を検知するための装置およびプロセス
発明の背景
発明の分野
本発明は、概して、フッ素種のセンサおよびこのような種の検知方法に関し、半導体プロセス作業におけるフッ素含有化合物およびイオン種の監視に有益である。
発明における政府の権利
本明細書における本発明に関連する研究は、NIST ATPプログラム、契約番号70NANB9H3018の遂行として実施された。政府は本発明に一定の権利を有する。
関連技術の説明
半導体デバイスの製造において、ケイ素(Si)および二酸化ケイ素(SiO)の堆積ならびにその後のエッチングは、現在、全製造プロセスの8〜10ステップまたはおよそ25%を含む重要な作業ステップである。各堆積ツールおよびエッチングツールは、均一で一貫した薄膜特性を保証するために、定期的な洗浄手順を、時には、各運転ごとに経なければならない。
現在、エッチング作業において、所定の時間量が経過したときに、エッチングは終了する。洗浄エッチングの終了後、プロセスガスがリアクタチャンバに流れ込み続けるオーバーエッチングは普通のことであり、より長いプロセスサイクル、ツール寿命の縮小、および不必要な地球温暖化ガスの大気への放出につながる(アンダーソン、B(Anderson,B)、ベンケ、J(Behnke,J)、バーマン、M(Berman,M)、コベイシ、H(Kobeissi,H)、ヒューリング、B(Huling,B)、ランガン、J(Langan,J)、リン、S−Y(Lynn,S−Y)、セミコンダクタ・インターナショナル(Semiconductor International)、1993年10月)。
類似の問題は、窒化ケイ素(SiN)が半導体デバイス構造で用いられている場合には、窒化ケイ素材料のエッチングにも存在する。
FTIR、発光分光法およびイオン質量分析法などの様々な分析技術が、エッチングプロセスを監視するために使用できる。しかしながら、これらの技術は高価で、その複雑さのために、多くの場合に専用のオペレータを必要とする傾向がある。
したがって、洗浄およびエッチング時間を低減かつ最適化し、それにより化学物質の使用を低減して設備の動作寿命を延長し、設備のダウンタイムを減少させることによって、ケイ素、窒化ケイ素および二酸化ケイ素を始めとするケイ素含有材料の堆積およびエッチングに用いられる設備の処理能力および化学的効率を改善する役目をする、信頼できる廉価なガス検知能力を提供することは、当該技術分野における著しい進歩であろう。
この必要性と取り組むときに、微細加工されたガスセンサデバイスは、迅速で熱的に操作可能なつり構造の製造に適用できることから、高性能な検知を実現するのに概念上有用であろう。表面が微細加工されたデバイスは、標準的な2レベルのCMOS処理を用いて開発された。しかしながら、アグレッシブな環境用のプロセスセンサの製造において、大きな問題は、センサプラットフォーム、特に、SiOおよび/またはSiメンブレンが用いられている微細加工された要素の保護である。なぜなら、これらの材料は、対象ガス成分の検知を達成するために暴露されるプロセス環境において、急速にエッチングされるからである。
したがって、たとえば、監視されるガス環境がフッ素種もしくは他の腐食性薬剤かまたは腐食液を含んでいる場合など、監視されるガス環境の攻撃に耐える微細加工された検知デバイスを提供することは、当該技術分野における著しい進歩であろう。
本発明は、概して、周囲環境、半導体製造プロセスからのガス排出物流れなど、フッ素種の存在に敏感な環境でフッ素種を検知するための装置および方法に関する。
一の態様において、本発明は、対象ガス種とそれ自身接触する自立性の(free−standing、支持されていない)ガス検知要素の少なくとも1つの特性における変化を監視するための、かつ、応答して出力信号を発生させるための手段と基板上で結合される独立ガス検知要素を含むガスセンサアセンブリであって、ガス検知要素が、対象ガス種と接触して前記変化を示す材料で形成されるガスセンサアセンブリに関する。
本発明のさらなる態様は、検知関係においてプロセスチャンバに結合され、かつ、このようなプロセスチャンバ内の腐食性条件に耐えるように配置されたソリッドステートセンサに関する。
本発明の別の態様は、半導体製造工場からの排出物または排出物に由来する流体を監視するように配置されたガスセンサアセンブリであって、排出物または排出物に由来する流体が、対象ガス種を含むことに敏感であり、ガスセンサアセンブリが、排出物または排出物に由来する流体における対象ガス種とそれ自身接触するガス検知要素の少なくとも1つの特性における変化を監視するための、かつ、応答して制御信号を発生させるための手段と基板上で結合された自立性のガス検知要素を備え、ガス検知要素は、対象ガス種と接触してこのような変化を示す材料で形成されるガスセンサアセンブリに関する。
本発明のさらなる態様は、対象ガス種がその中に存在している流体位置の監視方法に関し、前記方法には、
前記流体位置からの流体を、自立性のガス検知要素であって、対象ガス種とそれ自身接触するガス検知要素の少なくとも1つの特性における変化を示す材料で形成される自立性のガス検知要素に暴露することと、
ステップ(a)の間に、ガス検知要素の前記少なくとも1つの特性を監視することと、
ガス検知要素がガス検知要素の少なくとも1つの特性における前記変化を示すときに、応答して、流体位置における対象ガス種の存在かまたは流体位置における対象ガス種の濃度の変化を示す出力信号を発生させることと、
が含まれる。
別の態様において、本発明は、ガスセンサアセンブリの製造方法に関し、
離間された直立コンタクトをその上に有する基板部材を含むベースアセンブリを提供するステップと、
コンタクト間で、ベースアセンブリに支持材料層を堆積するステップと、
支持材料層にセンサ材料層を堆積するステップと、
センサ材料層の下の支持材料を除去し、自立性のセンサ材料構造を形成するステップと、
を含む。
本発明の別の態様は、ガスセンサアセンブリの製造方法に関し、
基板部材を提供するステップと、
基板部材にトレンチを形成するステップと、
トレンチに支持材料を堆積するステップと、
基板部材のトレンチおよび隣接表面領域上にセンサ材料層を堆積するステップと、
センサ材料層の下のトレンチから支持材料を除去し、トレンチに重なる自立性のセンサ材料構造を形成するステップと、
を含む。
本発明の別の態様は、フッ素種とそれ自身接触する自立性のガス検知要素の少なくとも1つの特性における変化を監視するための、かつ、応答して出力信号を発生させるための手段と基板上で結合される自立性のガス検知要素を含むガスセンサアセンブリであって、ガス検知要素は、フッ素種と接触してこのような変化を示す材料で形成されるガスセンサアセンブリに関する。
本発明の別の態様は、ガス検知関係において、プロセスチャンバに結合され、かつこのようなプロセスチャンバ内の腐食性条件に耐えるように配置されたソリッドステートセンサであって、このソリッドステートセンサが、腐食性環境と接触するように配置されかつガス検知要素の少なくとも1つの監視可能な特性における変化によって接触に応答する自立性のガス検知要素と、ガス検知要素のこのような少なくとも1つ特性における変化を示す信号を出力するように配置された信号発生器と、を含むソリッドステートセンサに関する。
本発明の追加的態様は、ガス検知中に攻撃から基板を保護するためのバリヤー層をその上に堆積した基板と、ガス検知において検知すべきガスに暴露されて、少なくとも1つの特性における変化かまたは検知材料層に特徴的な応答を生成する、バリヤー層上に堆積された検知材料層と、を含むガスセンサアセンブリであって、キャビティが、基板部材においてその裏側に形成され、このようなキャビティが、検知層の裏面で終了するガスセンサアセンブリに関する。
別の態様において、本発明は、ガスセンサアセンブリの製造方法に関し、
基板部材を提供することと、
基板部材にバリヤー層を堆積することと、
バリヤー層に検知層を堆積することと、
バリヤー層の内面で終端する基板部材において、裏面キャビティを微細加工することと、
を含む。
本発明のさらに別の態様は、自立性の金属センサ要素であって、要素の選択的な抵抗加熱のため、かつガス環境においてフッ素種と接触する要素の少なくとも1つの特性における変化を示すように配置された金属センサ要素と、検知要素に動作可能に結合された信号発生器であって、監視されているガスが検知要素と接触し、かつ監視されているガスがこのようなフッ素種を含むときに、監視されているガスにおけるフッ素種の存在を示す信号を出力する信号発生器と、を含むガスセンサアセンブリに関する。
本発明のさらなる態様は、ポストアレイと、このようなポストの回りに織られる1つまたは複数の自立性の金属センサワイヤを含むガスセンサアセンブリであって、ポストが、その中に1つまたは複数の対象種の存在に敏感な、ガスと接触するための織成ワイヤ構造を提供し、ワイヤが、対象種と相互作用して、1つまたは複数の対象種の存在を示す応答を生成するガスセンサアセンブリに関する。
別の態様において、本発明は、フッ素種の存在またはその濃度における増加を示す応答によって、このようなフッ素種の存在に応答する自立性のガス検知要素を含むマイクロホットプレート構造を含むガスセンサアセンブリに関する。本発明の別の態様は、耐フッ素種ポリイミド支持構造と、その上に支持された、ガス環境と接触するための検知ワイヤとを含む、ガス環境におけるフッ素種を検出するためのガスセンサデバイスであって、検知ワイヤが、フッ素種に暴露され、応答して、監視可能な変化を示すガスセンサデバイスに関する。
本発明のさらなる態様は、前記フッ素種を示す応答によってフッ素種への暴露に応答する自立性のガス検知要素を含むガスセンサアセンブリであって、前記自立性のガス検知要素は、フッ素種感受性材料を自身に被膜したフィラメントコアを含む合成フィラメントを含み、前記コア材料は、前記フッ素種反応性材料よりも高い抵抗率を有するガスセンサアセンブリに関する。
本発明のさらに別の態様は、マイクロエレクトロニック・デバイス・パッケージのコネクタピンに結合された自立性のガス検知要素を含むガスセンサアセンブリであって、自立性のガス検知要素が、その中に1つまたは複数の対象ガス種の存在またはその濃度の変化に敏感なガス環境と接触するように配置され、また自立性のガス検知要素が、対象ガス種に暴露されてマイクロエレクトロニック・デバイス・パッケージのコネクタピンを通して伝達可能な応答を示す材料で形成されるガスセンサアセンブリに関する。
本発明の別の態様は、基板上に自立性の部材を含むガスセンサアセンブリであって、自立性の部材が、その中に1つまたは複数の対象ガス種の存在またはその濃度の変化に敏感なガス環境と接触するように配置されたガス検知要素を含み、ガス検知要素が、対象ガス種に暴露されてガス環境における1つまたは複数の対象ガス種の存在またはその濃度の変化を示す応答を表示する材料で形成され、自立性の部材が、対象ガス種に抵抗力のある材料からなる、ガス検知要素を支持するバリヤー層を含むガスセンサアセンブリに関する。
さらなる態様において、本発明は、絶縁足場部材の上または中に織られる自立性のガス検知ワイヤ要素を含み、かつその上の多数の巻線を含んで織成ワイヤ構造を形成するガスセンサアセンブリであって、ワイヤ要素が、対象ガス種に暴露されて応答を示す材料で形成され、かつ、このようなワイヤ要素が回路に結合されて、ワイヤ要素が対象ガス種へ暴露されたときに、ガス環境における対象ガス種の存在またはその濃度の変化を示す出力を生成するガスセンサアセンブリに関する。
本明細書において用いられているように、用語「フッ素種」は、限定するわけではないが、ガス状フッ素化合物、原子および二原子(F)形状のフッ素それ自体、フッ素イオンおよびフッ素含有イオン種を始めとする全てのフッ素含有材料を包含するように広く解釈されるように意図されている。たとえば、フッ素種には、NF、SiF、C、HF、F、COF、ClF、IFなどの種、およびそれらの、イオン化されたフラグメント、プラズマ形状等を始めとする活性フッ素含有種(集合的にFとして表示)を含んでもよい。
本発明の他の態様、特徴および実施形態は、続く開示および添付の特許請求の範囲からより完全に明らかとなるであろう。
発明の詳細な説明および好ましい実施形態
本発明を半導体プロセス制御における用途に特に関連して、以下により完全に説明するが、本発明の有用性が、このように制限されるわけではなく、それどころか、限定するわけではないが、生命安全システムにおける配置、室内または周囲環境監視動作、ならびに他の産業および消費者市場ガス検知用途を始めとして、広範囲の他の使用法および用途に及ぶことを理解すべきである。
本発明は、その一の態様において、半導体チャンバ洗浄プロセスのエンドポイントを判定するために、マイクロエレクトロメカニカルシステム(MEMS)ベースのガス検知能力を提供する。このような用途において、本発明以前は、MEMSベースの検知は、2つの大きな難問のために、商業的には成り立っていなかった。すなわち、(1)半導体チャンバの清浄において典型的に用いられる、強くフッ素化されたガス(典型的にはNF、SiF、C、HFおよびそれらの活性種)に対して、測定可能な反応を示す薄膜材料を開発すること、および(2)このような検知薄膜を、このような強くフッ素化されたガスの厳しい環境に耐える信頼できる形状に集積およびパッケージングすることである。
これらは手に負えそうもない難問だった。なぜなら、現在のMEMS設計(他のより穏やかなガス環境のための)は、ケイ素ベースのデバイス構造に検知金属層を堆積し、続いて、このデバイスをチップキャリアへボンディングおよびパッケージングすることを必要とするからである。この現在の製造アプローチは、マルチステップのプロセスを必要とし、対応するマルチ構成要素の製品センサアセンブリを含むが、各構成要素が強くフッ素化されたガスによる化学的攻撃を受ける。適切なカプセル構造の開発によりそれぞれの構成要素の各々を保護することは可能かもしれないが、このような方策では、一層の製造の複雑さ、製造時間およびコストが製品ガスセンサデバイスに加えられる。
本発明は、次のようなやり方でこれらの障害を克服する。すなわち、このようなプロセスの厳しい化学的環境において、効率的で永続性があり、信頼できる方法で、半導体チャンバ洗浄プロセスにおけるフッ素化ガスを監視するための、簡単かつ安価に製造され、容易に実現される、MEMSベースのセンサデバイスを使用できるようにすることである。
以下により完全に説明するように、本発明のフッ素化ガスセンサデバイスには、それを当該技術分野におけるブレークスルーとして特徴づける2つの主な特徴がある。このような特徴の1つは、自立性の金属要素のデバイスで用いることであり、このデバイスは、検知材料として機能し、そして、たとえば、周囲状況から検知温度を変化させるか、またはその排出物が監視すべき対象ガス種を含んでいる半導体チャンバの温度と一致させることが望まれる場合に、任意で、ガス検知動作のための熱源(たとえばその抵抗加熱、伝導加熱または他の加熱による)として機能する。このような特徴の第2は、自立性の金属薄膜のパッケージングに関するが、この場合、自立性の構造を、標準チップキャリア/デバイスパッケージ上に直接製造し、パッケージがデバイスのプラットフォームになるようにすることができる。
このように、検知関係において、半導体プロセスチャンバなどのプロセスチャンバに結合することが可能で、かつ、以下により完全に説明するように、材料および検知要素の適切な選択によってプロセスチャンバ内の腐食環境に耐え得るソリッドステートセンサが、本発明によって提供される。
本発明のフッ素種センサデバイスは、以下で説明する任意の多数の適切な形状における単一の検知要素を含んでもよい。
代替として、フッ素種センサデバイスは、複数のこのような検知要素を含んでもよく、この場合、多数の要素によって、冗長またはバックアップの検知能力が提供されるか、または多数の検知要素のうち異なるものを、監視されている流れもしくはガス容量の異なるフッ素種の検知ために配置するか、またはアレイにおける検知要素の異なるものを、異なるモードか相互関係モードで動作させるが、たとえば正味の指示信号を生成するために減算的にか、代替として合成指示信号を生成するために加算的にするなど、アルゴリズム的に操作されるそれぞれの信号の生成のためか、もしくは、監視または制御目的の相関信号を生成するために、関心のある流れか流体容量における種のフローを監視するために、複数のセンサ要素を効果的に用いる任意の他の適切な方法で動作させる。
周知のように、フッ素はほとんどの金属と反応し、高酸化状態、そしてときには混合酸化状態を有する化合物を生じさせる(「無機固体フッ化物、化学および物理学(Inorganic Solid Fluorides,Chemistry and Physics)」、アカデミックプレス(Academic Press)、1985年、P.ハーゲンミュラー編集(P.Hagenmuller))。多くの遷移金属および貴金属(たとえば、限定するわけではないが、Ti、V、Cr、Mn、Nb、Mo、Ru、Pd、Ag、Ir、Ni、Al、CuおよびPtを始めとする)は、フッ素ガス成分と接触して、様々な不揮発性のフッ素化合物を容易に形成する。本発明のガス検知デバイスおよび方法は、これらの金属の自立性の形状を用いて、監視されているガスにおけるフッ素種の存在を検出する。
関心のあるフッ素種の検出は、自立性の金属材料がフッ素含有種と反応するときのその抵抗における変化による方法など、任意の適切な方法で達成してもよい。
自立性のフッ素種検知要素を製造するための特定の材料の選択は、フッ素種の存在に対して監視されているストリームの特性によって、および特に、監視されている対象ガス種のか、さもなければ監視されたガスに存在する腐食性によって、ならびにこのように暴露される検知要素材料の対応する耐食性によって、本発明の好ましい実施において異なってもよいことは理解されるであろう。
たとえば、いくつかのアグレッシブな腐食環境において、パラジウムは、監視される媒体によってエッチングされる結果として、他の検知要素構成材料ほど好ましくはない。
構成のための特定の検知材料の選択は、本発明の所与の最終使用用途のために容易に決定可能であるが、この決定は、候補のガス検知要素構成材料をフッ素種含有環境へ暴露することを伴う簡単な実験、および、たとえば、このような暴露における候補材料の耐食性または耐エッチング性などの適応性を判定することによって行われる。
本発明のフッ素検出器における自立性の検知要素は、限定するわけではないが、ワイヤ、フィラメント、フォイル、ナノ細孔性自由形状もしくは被膜、液晶とともに電気めっきされた金属などの電気めっきされた金属、またはエアギャップにつるされた(suspended)薄膜を始めとする任意の多数の適切な形状で提供してもよい。これらの検知要素は、粗面、標準ナノ細孔性または誘導されたナノ細孔性など、調整した形態を有してもよい。本発明の一実施形態において、電気めっきされたニッケルが、フッ素種検知材料として用いられ、そしてまた電気めっきしたアルミニウムを、前述した金属のうち他の任意の電気めっきした形状および特に例証的に言及したもの以外の金属と同様に、有利に用いてもよい。自立性の金属とのフッ素化合物の反応は、温度感受性であってもよく、金属の加熱は、それに電流を通すことによって達成できる。このように、ガス検知要素は、自己加熱構造としてガス検知動作で用いてもよく、このような特徴は、この構造の自立性のした性質によって可能とされる。
自立性の金属の抵抗および反応は、構造の配置を変更することによってうまく操作することができる。たとえば、自立性のワイヤは、機械的、化学的、電気化学的、光学的または熱的など、任意の様々な方法で薄くして、絶対抵抗を増加させると同様に金属の表面面積対体積比率を増加させるようにし、それによって、感度を高めるかまたは信号対雑音比を改善する。同様に、つるされた薄膜の配置は、つるされた面積にわたる薄膜の幅、長さおよび厚さを適切に選ぶことによってうまく操作することができる。さらに、材料の物性をうまく操作することができる。たとえば、組成は、合金にするかまたはドーピングにより修正することができ、微細構造は、たとえば、粒子サイズ、結晶度レベル、細孔性(たとえばナノ細孔性)、表面面積対体積比等における変化によって修正することができる。
したがって、自立性の金属構造は、その形状、形態、物性、化学的特質および形態的特徴に関して、当該技術分野の技術内で、過度の実験なしに、望むように様々に構成および修正が可能であることは明白であろう。
上述のように、本発明におけるセンサデバイスの自立性の金属構造は、標準チップ/キャリアパッケージに容易に直接製造することができ、その結果、パッケージは、デバイス用のプラットフォームとして効果的に構成される。このパッケージングは、半導体プロセスガス監視デバイスへの適用における、本発明の重要な特徴である。なぜなら、このような半導体製造用途の強くフッ素化された環境特性は、従来のMEMSベースのガスセンサデバイスの使用状態とは対照をなす環境だからである。本発明のガス検知デバイスは、パッケージに直接一体化される検知要素として自立性の金属構造を用いることによって、このような用途においてMEMSベースのセンサデバイスを用いる先行技術の能力を制限した化学的攻撃に対する感受性を克服する。
自立性の構造は、任意の適切な方法でデバイスパッケージの一部として一体化することができる。たとえば、自立性のワイヤ検知要素またはフォイル構造検知要素の場合には、ワイヤまたはフォイル構造は、パッケージングポストに直接スポット溶接することができる。次に、自立性のワイヤまたはフォイルは、たとえば、機械的、化学的、電気機械的、電気化学的、熱的、光学的等の任意の適切な方法で薄くすることができる。好ましい薄化技術には、自立性の金属検知要素のレーザ微細加工が含まれる。
デバイスパッケージへ自立性の金属検知要素を一体化するための別の例証的なアプローチとして、絶縁層をデバイス用のパッケージに施し、続いてこの絶縁体を平坦化してパッケージパッドを露出し、続けて薄膜を堆積してガス検知要素を形成してもよい。
薄膜の堆積は、任意の適切な方法で実行してもよいが、物理蒸着によって達成するのが好ましく、スパッタリングまたは電子ビーム蒸着法により達成するのが最も好ましい。堆積薄膜構造の輪郭を描くために、シャドーマスクを用いてもよい。絶縁層材料は、有機または無機であってもよいが、それが使用される環境に耐えられる材料であるのが有利である。すなわち、それは真空仕様、耐エッチング性および非汚染性であるべきである。この一体化構造は、たとえばレーザ微細加工によって、望むようにさらに修正することができる。たとえば、レーザ微細加工を用いて、絶縁材料をエッチングするのと同様にジオメトリをさらに薄くして、エアギャップを生成し、かくして、自立性の薄膜デバイス構造をもたらすことができる。
自立性の構造をチップキャリアパッケージなどの標準マイクロエレクトロニック・デバイス・パッケージへ一体化する能力によって、本発明のガスセンサ装置は、単一要素デバイス構造としてか、または代替として、たとえば、検知デバイス全体のガス検出能力を向上させるために様々な金属構造、異なるジオメトリもしくは異なる温度で動作する冗長構造を用いたマルチ要素アレイとして、様々に構成することができる。デバイスパッケージにおけるピン(接触構造)の数は、アレイの最大サイズを決定する際の制限因子であり、また広範囲のマルチピンデバイスパッケージ構造を市場で即座に入手できることにより、それに対応して、様々なサイズのアレイを提供することができる。
多数の金属検知要素構造が提供される場合には、多数の金属構造のうちの異なるものを、監視されている流体環境における異なるフッ素化種を検知するため、および/または異なる温度で同じフッ素化種を検知するために、構成および配置してもよく、そして検知要素の異なるジオメトリおよび構成を、冗長および/または正確さを保証する等のために用いてもよい。代替または追加として、多数の検知要素のうちの異なるものを、たとえば、抵抗性、導電性、パルス性、DCモード、ACモード等の異なる動作モードにおいて動作させてもよい。
ガス検知要素アレイの使用と関連して、高度なデータ処理技術を用い、センサシステムの出力を向上させてもよい。このような技術の例として、限定するわけではないが、補償信号の使用、経時変化信号の使用、ヒータ電流、ロックイン増幅技術、信号平均化、信号時間微分およびインピーダンス分光技術があげられる。さらに、計量化学のカテゴリに分類される高度な技術もまた適用してもよい。これらの技術には、最小二乗法、逆最小二乗法、主成分回帰法および部分的最小二乗データ分析法が含まれる。
したがって、本発明のセンサアセンブリにおけるガス検知要素は、当該技術分野の技術内で、適切な方法でトランスデューサ、計算モジュールまたは他の信号処理ユニットに結合し、監視されている流体環境における1つまたは複数のフッ素種の存在または量的変化を示す出力を供給してもよい。
ここで図面を参照するに、図1、2および3は、本発明の一の実施形態によるセンサの製造におけるプロセスフローを示す概略図であり、ガスセンサアセンブリをもたらすための自立性の薄膜要素のパッケージ製造を含む。
図1に示すように、コンタクト2および4をその上に有する基板部材1を含み、たとえばTO−5またはTO−8ヘッダを含んでもよいベースアセンブリは、最初に、ポリイミドなどの耐プラズマ性の重合体6または市販のSU−8フォトレジストでコンタクト間に被膜される。
次に、重合体層6の過剰な材料は、適切な平坦化ステップによって図2に示すように研磨され、引き続く検知金属の電子線蒸着のために平坦な面を生成する。
次に、Ptまたは他の適切な金属の薄膜8が、たとえば、シャドウマスク(図3には図示せず)を用いた、コンタクト(および重合体)への、金属の電子線蒸着のような適切な技術によって、重合体層6の上に形成される。追加処理にはレーザトリミングを含んで薄膜形状をさらに修正し、かつ、たとえばレーザアブレーションツールで薄膜構造の下から選択された領域のポリイミド材料を除去して、薄膜ガスセンサ要素の下にトレンチを形成してもよい。
次にセンサアセンブリは、ポリイミド保護層を備えたベスペル(Vespel)(登録商標)ポリイミドフランジまたは電気フィードスルーによって構成されたセンサプラットフォームの表面に配置された薄膜金属ストリップを含んでもよい。一般に、センサアセンブリは、たとえばKFフランジなどの任意の数のフランジを用いてもよく、このようなフランジは、ベスペル(Vespel)(登録商標)ポリイミド(イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー(E.I.du Pont de Nemours and Company)、デラウエア州ウィルミントン(Wilmington,DE)から市販)またはアルミニウムなどの適切な材料で形成してもよい。一の実施形態において、センサアセンブリ製造には、TOヘッドをベスペル(Vespel)(登録商標)ポリイミドフランジ部材の中へ圧入することが含まれる。ベスペル(Vespel)(登録商標)ポリイミドは、以下により完全に説明するように、本発明の様々な実施形態において構成のための好ましいポリイミド材料であるが、しかし、他のポリイミドまたは重合体(たとえばポリスルホン)構成材料を代わりに用いてもよいことは理解されるであろう。
レーザアブレーションを用いてセンサ金属薄膜の下にトレンチを形成するときにトレンチの寸法を制御する問題と、センサ要素金属層への損傷を最小限にしかつ基板材料がセンサ要素に再堆積することを回避する関連問題とを避ける本発明の好ましい態様において、図4に示す製造プロセス方法論を実行して、本発明の別の実施形態によるガスセンサアセンブリを形成してもよい。
図4は、センサアセンブリの製造における連続的ステップ(ステップA〜ステップF)を示すが、各ステップは、図の左側の、製造されている構造の断面立面図と、このような断面立面図を対応する構造上面図と結び付けるステップの名称(図の上から下まで順に矢印A、B、C、D、EおよびFを参照)をラベル付けされた矢印と、に関連して示され、そして各ステップの上面図は、引き続くプロセスの説明で論じられる参照符号でラベル付けされている。
図4プロセスにおいて、基板10はベスペル(Vespel)(登録商標)ポリイミドフランジ(ステップA)である。
レーザで空けられたトレンチ12はベスペル(Vespel)(登録商標)ポリイミドフランジ10に形成され、ステップBに示される構造を生成する。レーザアブレーションは、このようなトレンチ形成用の好ましい技術であるが、たとえば基板の選択的な化学エッチング、RIE技術など他の非レーザ方法を用いることも可能であろう。
次に、トレンチが犠牲材料で充填されるように、犠牲材料層14が、レーザで穴を開けられた基板の表面に施される(ステップC)。犠牲材料は、液相もしくは気相エッチングまたは他の除去プロセスによって後続の処理において除去できる材料が好ましい。例として、Oが存在する状態で灰化することにより除去可能な高分子材料、またはフッ素含有プラズマエッチングよってか、もしくは適切な化学溶液か溶媒溶解媒体によって除去可能なSiOなどの材料があげられる。
次に(ステップD)、構造の表面を平坦化作業において研磨し、犠牲材料のトレンチ堆積物16を残して、トレンチを囲むフランジの表面から犠牲材料14を取り除く。平坦化ステップは、基板表面の平坦性を改善し、それによって、続いて形成される金属要素におけるジオメトリの良好な制御を達成するのを助ける。平坦化ステップは任意であり、そして良好なセルフレベリング動作が犠牲材料に対して達成される場合、およびトレンチキャビティを囲む基板の隣接面と近いレベルになるようにトレンチへ犠牲材料を施すことが可能な場合には、平坦化ステップは省略してもよい。
次に、図示のように、検知金属化材料をトレンチ堆積物16およびトレンチ隣接する基板の上面部分に堆積して(ステップE)、検知要素18を画定する。金属検知要素は、シャドウマスクを通した堆積によって形成するか、または代替として、フォトレジストおよびエッチングによる後続のパターニングのためのブランケット層として形成してもよい。
最後に(ステップF)、犠牲材料は、エッチングまたは他の適切な技術(可溶化、酸化的灰化、昇華等)により、トレンチ12から除去され、その結果、検知要素18は、その後に電源および信号処理部品(図4には図示せず)を含む電子機器制御モジュールなどの適切な電子機器にリードによって結合できる露出した薄膜要素として、トレンチ12の上に重なる。
このような電子機器を適切に配置して、検知要素18の1つまたは複数の特性を監視できるようにする。金属センサ要素が感受性を示すフッ素種との相互作用の結果として、金属センサ要素の電気抵抗または他の適切な特性などの監視された特性が変化するとき、電子機器によって、監視されている環境における対象ガス種の存在または量を示す、たとえば制御信号、ビジュアル表示出力などの相関出力が供給される。
例証的な実施形態において、出力は、監視されるガスが得られるプロセスを調整するために用いられる制御信号であってもよい。半導体製造作業において、このような出力は、中央処理装置(CPU)、マイクロプロセッサーまたは他の信号処理または信号応答手段を作動させて、プロセスバルブを切り替え、かつ処理作業を終了するか、または新しいプロセスステップもしくは状態を開始するであろう。
たとえば、SiFなどのフッ素化合物および/または他のフッ素種に接触されると、金属検知要素(電気回路の部品としての)全体の電圧は降下して、対象フッ素種との接触に付随する、金属検知要素の抵抗における増加を示してもよい。このような電圧降下を用いて、プロセス制御目的の信号を発生させることができる。電圧降下を用いて自動制御バルブを作動させる信号を発生し、半導体プロセスシステムのプロセスストリームにおけるフローの開始、フローの終了またはフローの切り替えを達成することができる。代替として、制御信号を用いて、サイクルタイマーを作動させ、プロセス作業において新しいステップを開始するか、または低減プロセスチャンバにおけるスクラバ樹脂の取り替えなどメンテナンスイベントが必要か望ましいことを合図してもよい。
金属検知要素の特性における変化を任意の様々な方法で利用し、当該技術分野の技術内で過度の実験なしに、対象ガス(たとえば、フッ素)種の検知に関連してプロセスの制御を達成できることは理解されるであろう。
さらなる例として、本発明のセンサアセンブリは、フッ素種ガス(ペルフッ素種などで、たとえば、化学蒸着作業のためのペルフルオロ化された有機金属の前駆物質)の供給部を含むガスキャビネットと接続して用いてもよく、そしてガスセンサアセンブリを用いて、供給容器からか、さもなければガスキャビネットのフロー回路における漏れの存在を判定してもよい。次に、フッ素種の検知を利用して大量のパージガスの源を作動させ、ガスキャビネットの内部容量を掃出して、フッ素種の濃度が、有毒かさもなければ危険なレベルに達するのを防いでもよい。
また、センサアセンブリは、フッ素種の侵入もしくは発生に敏感な周囲環境用の監視ユニットにおいて用いてもよいし、または代替として、センサアセンブリは、危険物清掃要員、化学コンビナートの消防士、HFガラスエッチング作業の労働者等のために、アラームおよび/または緊急呼吸ガスの自給式の源を作動させるように配置された着用できるガス監視ユニットの構成部分とすることができる。
本発明の金属センサ要素で用いられる金属には、たとえば1つまたは複数の対象フッ素種などの対象ガス種に暴露されて、このような対象種(たとえば、このような対象種の存在またはこのような対象種の濃度の変化)の指標として監視可能で、有用な変化を生成する任意の適切な金属種を含んでもよい。
本発明の広範な実施においてフッ素種の検知のために用いてもよい金属センサ要素の例として、限定するわけではないが、Ti、V、Cr、Mn、Nb、Mo、Ru、Pd、Ag、Ir、Ni、Al、CuおよびPtの1つまたは複数があげられる。金属は合金形状であってもよいし、またはそれは金属の組み合わせを含んでもよく、そして、様々な金属種または互いに化合する様々な金属および非金属種を含む合成検知要素が、本発明の広範な範囲内において考えられる。
本発明のセンサアセンブリにおける金属センサ要素は、高い表面対体積(S/V)特性であるのが好ましいが、それは、他の場合には同じセンサ材料の低いS/V形態において生じる、ガスを示すバルク特性における実質的に低い変化に対して、迅速な応答を促進し、応答を増幅するためである。
このように、センサ材料の好適で高い応答形状には、フォイル、薄膜、フィラメント、ニードル、粉体等と、同様に、金属をドープされた導電性のスレッド、カーボンナノチューブ上の気相蒸着された金属等が含まれる。金属検知要素の限界寸法(フォイルもしくは薄膜用の厚さ寸法、またはフィラメント、ニードル、粉体等の形状ための直径)は、応答速度および製造の容易さを考慮したバランスとして、望ましくは500ミクロン(μm)未満、好ましくは150μm未満、より好ましくは25μm未満、さらにより好ましくは10μm未満、最も好ましくは約0.1μm〜約5μmの範囲にある。
フォイルおよび薄膜は、たとえば約0.1μm〜約50μmの範囲の小さな厚さを有していることに加えて、再び応答性の理由から、フォイルまたは薄膜の厚さ方向に垂直な平面において、小さな寸法特性を有していることが望ましい。このような平面(z軸が厚さ方向であるx−y平面)における横の寸法には、長さ(x−方向)および幅(y−方向)が含まれ、これらの長さおよび幅は、製造の複雑さおよび応答性のバランスとして、約10cm未満が有利であり、たとえば約20μm〜約5mmの範囲において、好ましくは約1mm未満、より好ましくは約100μm未満である。金属検知要素として用いられるときのワイヤの長さは、特に本明細書で説明する織成構造で用いられるときには、任意の適切な長さであってもよい。特定の例として、長さが7〜15cmで、直径が75〜150μmの範囲にあるワイヤが、本発明の一の実施形態において有用に用いられる。一般に、センサワイヤの適切な寸法は、意図した用途のための対応した適切な信号対雑音比を提供するために、容易に決定することができる。
前述した説明の文脈において、金属検知要素は、上述の典型的なミリメートル/マイクロメートル要素よりも高価なガスセンサ製品としてとはいえ、ナノスケールの要素として製造することが可能であることを理解すべきである。
本発明の検知要素は、自立性の要素である。すなわち、それは、たとえばフッ素種など関心のある対象種の存在を求めて、センサによって監視されている流体環境に暴露される検知部分を有して、検知要素の感度、応答時間および動作寿命を最大限にする。
一の実施形態において、自立性のガス検知要素は、繊維状またはフィラメント状の形態を有してもよく、この場合、細長いガス検知要素の端部は、コンタクトまたは他の回路部品に接合されるかまたは他の方法で結合され、そして要素の中間部は、支持されず、その固定された端部間で、要素の自立性のセクションを構成する。それに対応して、自立性の要素は、フォイルまたは薄膜形態で製造してもよく、この場合、フォイルまたは薄膜の部分は、コンタクトまたは他の回路部品に接合されるかまたは他の方法で結合され、コンタクトまたは他の回路部品の中間にある、フォイルまたは薄膜の領域は、支持されず、ガス検知要素の自立性の部分を構成する。
本発明の好ましい態様におけるセンサデバイスのパッケージングは、センサデバイスを標準チップキャリア/デバイスパッケージに直接形成することによって容易にされ、それによって、デバイスと、センサデバイスアセンブリのガス監視および制御機能を可能にする関連マイクロエレクトロニック回路との相互接続を簡略化する。たとえば、金属検知要素への電気接触は、適切な位置に配置された貫通ビアまたはピンによって基板の裏側から行い、必要な電気相互接続を達成してもよい。
前述の説明から明らかなように、本発明のガスセンサアセンブリは、簡単かつ再現可能な方法で容易に製造され、そして本発明のガスセンサアセンブリをうまい具合に結合することができる従来の信号処理および制御部品を用いて、フッ素種の検知を費用効率の高い方法で達成することを可能にする。
本発明のガスセンサアセンブリは、フッ素種を生成する様々な産業プロセス作業におけるフッ素種の監視に容易に適用可能であり、これらの作業には、フッ素種が、酸化ケイ素、窒化ケイ素、および炭素をドープされた酸化ケイ素などの低誘電率(k<3.9)ケイ素含有薄膜の除去に利用されているチャンバ洗浄などの半導体製造作業が含まれる。
図5〜8は、本発明の別の実施形態に従って、耐化学薬品バリヤー層をメンブレン支持材料として含むガスセンサアセンブリの製造における連続的なステップを示す。
図5は、ケイ素または他の適切な材料で形成された基板部材50を示す。図6に示すように、基板部材50は、その上のバリヤー層52の堆積と続いていることが示されている。バリヤー層52は、たとえば、1つまたは複数のフッ素種を含むガスまたは他のターゲット成分など、センサによって監視されるガスの攻撃から基板50を保護する。バリヤー層は、炭化ケイ素、ダイヤモンド状炭素などの適切な無機誘電体材料から形成してもよい。代替として、バリヤー層は、たとえば、ポリイミドなどの有機材料で形成してもよい。
適切な堆積技術または他の製造方法によるバリヤー層の形成に続いて、図7に示すように、ガス検知層54が堆積される。ガス検知層には、ニッケル、白金、銅もしくはアルミニウムなどの適切な金属、または、フッ素種もしくは検知される他の対象ガス成分に暴露されて、材料特性の変化を示すかさもければ適切な反応を示す他の適切な材料を含んでもよい。検知層54は、後に続くエッチングによるパターニングのためのブランケット層の形状かまたはシャドウマスクを通してなど、任意の適切な形状および方法で堆積してもよい。
様々な設計が可能であり、そしてアセンブリによって監視されている流体環境における1つまたは複数の対象ガス種を監視するための複数の信号の生成および出力に関して、ガスセンサアセンブリの効率を最大限にするために、異なる寸法のデバイスのアレイを有利に用いてもよい。
図8は、基板部材50の裏側を微細加工し、アセンブリの裏側にキャビティ56を形成した後のセンサアセンブリを示す。このようなキャビティは、標準エッチング技術、または他の材料除去プロセスによって形成してもよい。
図8に示すセンサアセンブリには、その製造において、容易にエッチングされる材料をアセンブリの前方検知側に施すのを回避するという利点がある。バリヤー層52の厚さおよび特性は、標準的な手法によって最適化してもよい。金属センサ層54への電気接触は、アセンブリ上端でのワイヤボンディングによるか、または埋込コンタクトおよび貫通ビアによりバリヤー層を通して達成してもよい。
裏面エッチングは、MEMS技術で周知であるが、図8に示すセンサアセンブリは、耐化学薬品バリヤー層52を検知層54のメンブレン支持材料として用い、かくして当該技術分野で用いられている先行技術によるセンサ構造とは根本的に異なる。
図8に示す検知アセンブリは、電気コンタクト形成後に、背後からパッケージへ挿入し、当該技術分野で周知の技術によってシールしてもよい。代替として、コンタクト形成後のセンサアセンブリは、たとえばベスペル(Vespel)(登録商標)ポリイミドまたはアルミニウムで形成されたKFフランジなどの堅固なフランジ材料の前側に取り付けてもよい。
このように、本発明によって、デバイスパッケージに直接一体化される自立性の金属センサ要素(すなわち、その長さまたは物理的な範囲の部分、好ましくは少なくとも大きな部分にわたって構造的に支持されない金属センサ要素)を特徴とするマイクロエレクトロメカニカル(MEMS)ガスセンサアセンブリが提供される。この結果としてのガスセンサアセンブリを半導体製造設備で用いて、半導体チャンバ洗浄プロセスのエンドポイント(センサアセンブリによって監視されている排出物のフッ素種または他の対象ガス成分のブレークスルーポイントにおける)を決定するようにしてもよい。
本発明のガス検出器で用いられるフッ素種検知要素には、検知要素と接触するガス環境においてフッ素種に暴露されたときに不揮発性のフッ素化合物を容易に形成し、結果として、検知要素の電気特性もしくは他の特性または応答における測定可能な変化をもたらす金属が適切に含まれる。
金属検知要素の自立性のアーキテクチャによって、それを検知材料および熱源(たとえば、電気抵抗加熱または他の加熱モードに敏感な)の両方として、同様に、前述した好ましい形状(フォイル、フィラメント、微粒子等)における、検知要素の高い表面対容積特性の結果、検知エリアを最大限にするものとして用いることが可能となる。検知材料および関連するパッケージングの一体化設計は、検知環境におけるアグレッシブなフッ素化されたガス種による化学的攻撃の問題を未然に防ぎ、それによって、標準ケイ素MEMS構造に関し、当該技術分野において根本的な進歩を達成する。
好ましい動作モードにおける本発明のガスセンサアセンブリは、自立性の金属検知要素が、検知される環境におけるフッ素含有材料と反応するときに、自立性の検知要素の抵抗における測定可能な変化を示す。自立性の金属検知要素の寸法は、その抵抗(または他の定量的に測定される応答特性)が、許容可能な感度および信号対雑音特性を備え、監視環境における対象ガス種の検出に適するように選択される。
このような基準は、先行技術には実質的な難問となったが、しかしこのような基準は、自立性の金属センサ要素の提供によって本発明のガスセンサアセンブリで達成され、そして自立性の金属センサ要素は、所望の大きさの抵抗または他の応答特性を生成する三次元アーキテクチャを有し、先行技術ガスセンサデバイスに対して、付随する向上した感度および/または改善された信号対雑音比を備えている。
特定の実施形態において、本発明のフッ素種(たとえばNF、SiF、F、HF等およびそれらの活性種)ガス検知アセンブリは、検知動作用の検知要素および熱源として、たとえばワイヤなどの自立性の金属構造を利用するが、この場合、金属構造は、たとえば標準チップキャリアパッケージなどのマイクロエレクトロニック・パッケージのパッケージングポストに直接スポット溶接することによって、マイクロエレクトロニック・デバイス・パッケージングと一体化される。
このような実施形態において、ポストはアレイに有利に配置されるが、ポストのヘッドを、隣接したポスト間で等しく離間し、同じ水平二次元平面に整列させるように配置するのが好ましい。
ガスセンサアセンブリによる十分な絶対抵抗の生成を保証するために、検知要素(ワイヤ)の長さは、ワイヤ径を製造公差内で一定に保ちながら、変化させてもよい。ワイヤ長さが、ポスト間の距離よりもかなり長いときには、ワイヤは隣接したポストの固定点間で制御できないほどコイルに対して感受性がある。このような感受性は、本発明のさらなる態様による三次元パッケージングアーキテクチャを用いて克服するが、この場合、以下でより詳細に説明するように、ワイヤ位置の厳密な線形制御を維持しながら、ワイヤ長さを相当により長くして、絶対デバイス抵抗および信号対雑音比を増加させるようにする。金属パッケージングポストまたは機械加工されたベスペル(Vespel)(登録商標)ポリイミドを用いて三次元でワイヤ位置を制御する様々なアーキテクチャを用いてもよい。
本発明の一実施形態による例証的なパッケージングポストアーキテクチャにおいて、適切な(電気的かつ熱的に)絶縁材料で形成されたパッケージングポストが、センサワイヤが回りに織られている三次元フレームワークとして用いられる。このようなアーキテクチャのワイヤは、例として、スポット溶接によってかまたは圧入など電気接触の他の適切な方法によって、その末端で別個のポストに電気接触される。ワイヤの固定された末端の中間で、ワイヤはポストの回りに織られている。織る程度およびアーキテクチャに組み入れられるポストの数を選択的に変更して、検知ワイヤの所望の長さを達成することができる。
ワイヤの織成方法にかかわらず、2つの主要な基準は守らなければならない。すなわち、(i)ワイヤが、それ自体とまたは他のワイヤと接触しないこと、および(ii)ワイヤが、意図した電気接触点以外では、ポストの金属と接触しないこと。第2の基準によって、ポストは、意図した電気接触点以外は絶縁材料でシースすることが必要になる。ガスセンサアセンブリのワイヤのうち任意のワイヤのための意図した電気接触点として機能しない、アーキテクチャにおけるポストは、金属である必要がなく、ベスペル(Vespel)(登録商標)ポリイミド、または他の適切な耐フッ素種絶縁材料で形成することができる。
パッケージングポストアーキテクチャにおいて、前述の基準と一致する種々様々の技術を用いて、所望のワイヤ長さおよびしたがって絶対抵抗を実現してもよい。このような技術のうち2つの一般的なカテゴリを有利に用いてもよい。すなわち、たとえば図9に示すように、センサワイヤがポストの回りに織られて、垂直方向平面に織成構造を形成し、ガスセンサアセンブリ60がポストアレイ62を含み、センサワイヤの「垂直」ウィーブ(weave)64が垂直方向平面に織成構造を形成する技術と、たとえば図10に示すように、センサワイヤがポストの回りに織られて、水平方向平面に織成構造を形成し、ガスセンサアセンブリ70がセンサワイヤの「水平」ウィーブ74を特徴とするアレイポスト72を含む技術である。
水平または垂直平面内でセンサワイヤを織る特定の方法は、本発明の実施において補足的に変更してもよい。
たとえば、ポスト80および82、ならびにレーストラック状巻線84すなわちセンサワイヤを例示する図11に示すように、センサワイヤは、レーストラックパターンで支持ポストの回りに巻いてもよいが、しかし、無線周波数誘導子の役割をするワイヤループを形成しないように注意しなければならない。なぜなら、それによって、センサワイヤは、スプリアスな干渉信号によりセンサの使用に適さない可能性があるからである。
他の織成パターンには、ポスト90および92がセンサワイヤ96の数字8の形態を支持している図12に示す数字8のパターンと、ポスト100および102がセンサワイヤ104の「S」形状を支持している図13に示す「S」形状の織成パターンとが含まれる。
このような製織形態に加えて、当該技術分野の技術内で、任意の数の織成構成を用いてもよい。マトリックスは、検知デバイスに適用できる織成の可能な置換を表わす目的で構成してもよい。たとえば、垂直のウィーブポストアセンブリは、図14に示す「レーストラック状」織成技術、図15に示す「S」形状織成技術、または図16に示す数字8形態を用いて製造してもよい。
図示のように、図14は、ベスペル(Vespel)(登録商標)ポリイミドブロック114を支持するフランジ112、およびフランジの下の露出したポスト部分118に示すような、金属で製造されたポストアレイ116を含むガスセンサアレイ110、ならびにベスペル(Vespel)(登録商標)ポリイミドブロック114の上側のポストの、絶縁材料シースされた部分120を示す。センサワイヤ122は、たとえば図11で示すようなタイプのレーストラック状形態を有するものとして示されている。
図15は、ベスペル(Vespel)(登録商標)ポリイミドブロック134を支持するフランジ132、およびポスト138のアレイ136を含むガスセンサアセンブリ130を示し、ポストの露出した金属部分140はフランジの下に示され、絶縁材料でシースされた部分142は、ベスペル(Vespel)(登録商標)ポリイミドブロック上に示され、ガスセンサワイヤ137は、図13の平面図で示すようなタイプの「S」形状をした形態に織られている。
図16は、フランジ152およびポストアレイ156を備えたベスペル(Vespel)(登録商標)ポリイミドブロック154を含むガスセンサアセンブリ150を示す。ポストの露出した金属部分158は、フランジ152の下に示され、またポストの、絶縁体でシースされた部分160は、ベスペル(Vespel)(登録商標)ポリイミドブロック154の上に示されている。図16におけるセンサワイヤ162のワイヤ織成パターンは、図12に示すようなタイプの数字8形態である。
図17、18および19は、それぞれ、図14、図15および図16におけるガスセンサアセンブリの上面図を示す。
図17、18および19におけるガスセンサアセンブリのマルチポストアレイは、様々な検知ワイヤ構成材料をテストするために容易に適合され、そして図17〜19のそれぞれにおいて、ポストの一番右側の垂直列は、前述したワイヤの特定の形態を有するもにとして示されている。連続的な垂直列(センサワイヤがポストに接しているのが示されている列の左に進んで)は、異なる検知金属で形成されたワイヤを含んでもよく、それによって、織成技術および異なる検知金属のマトリックスが提供されることが理解されるであろう。このようなマトリックスによって、本発明の所与の用途における最も効果的なパッケージングポスト/検知ワイヤ織成設計を決定するために、置換のそれぞれをテストすることが可能となる。
本発明のガスセンサアセンブリは、別の態様において、機械加工されたベスペル(Vespel)(登録商標)ポリイミドアーキテクチャを用いてもよい。ベスペル(Vespel)(登録商標)ポリイミドは、高誘電体強度、高耐熱性、高圧縮強度および優れた寸法安定性を有するポリイミドであり、このような材料は、フッ素種による攻撃に対して非常に強い抵抗を保有するものと、本願発明者らによって判定された。したがって、ベスペル(Vespel)(登録商標)ポリイミドは、検知ワイヤ織成用の三次元フレームワークのための構成材料として用いてもよい。
他の耐フッ素固体材料もまた、本発明の広範な実施において有用に用いることが可能だが、しかし、ベスペル(Vespel)(登録商標)ポリイミドは、非常に効果的だと分かっており、本発明のこの態様においては好ましい。
ベスペル(Vespel)(登録商標)ポリイミド構造とともに検知ワイヤ織成用の三次元フレームワークを用いて、検知ワイヤの電気接触が、前述の実施形態におけるように、金属パッケージングポストに対して実現されるが、しかしながら、ガス検知ワイヤまたは他の自立性のガス検知要素のための組立台支持構造を同時に使用することによって、金属ポストからは、電気コンタクトおよびワイヤ組立台要素としてのそれらの二重の機能性要件を取り除いてもよい。
電気接触ポストが、自立性のワイヤまたは他のガス検知要素を支持する組立台構造と組み合わせて用いられるこのような配置において、金属ポストは絶縁を必要としない。したがって、固定された末端の中間で、ガスセンサワイヤは、ベスペル(Vespel)(登録商標)ポリイミド組立台部材などの絶縁材料構造の回りに織ってもよく、そしてこのような組立台部材は、今度は、フランジまたは他の基板もしくは支持要素に取り付けてもよい。
ベスペル(Vespel)(登録商標)ポリイミドは、粉体形状と同様にブロックおよび円筒形状でも市販され、任意の所望の形状に圧力成形してもよい。ブロック、円筒または成形されたベスペル(Vespel)(登録商標)ポリイミド材料のその後の機械加工によって、三次元アーキテクチャにおける検知ワイヤの組立台に適した構造が提供される。
パッケージングポストベースの設計のための前述の技術を用いて、このような組立台の中または回りに検知ワイヤを制御しながら織ることによって、検知ワイヤが、必要な長さ特性、および効果的なフッ素種の検知のために要求される対応する絶対抵抗を達成することが可能となる。ベスペル(Vespel)(登録商標)ポリイミド材料または他の耐フッ素性の個体材料は、以下の例証的な実施形態で示すように、それがワイヤの位置をうまく操作できるような方法で機械加工してもよい。
ブロック、円筒または成形されたベスペル(Vespel)(登録商標)ポリイミド材料を、任意の様々な方法で機械加工して、検知ワイヤをその上または中に織ることが可能な構造を生成してもよい。たとえば、ベスペル(Vespel)(登録商標)ポリイミド材料に切り込みを作り、ワイヤを織ることが可能なチャンネル生成してもよい。このような切り込みは完全に材料を貫通するかまたは規定の深さで終了し、切り込みより下の切り込まれていない材料が、ワイヤの垂直位置を調整する「棚」を作り出してもよい。さらに、切り込みのどちらの側でも、作り出された垂直支柱は、回りにワイヤが巻きつけられる「ポスト」の役割を果たしてもよい。
図20および21は、図20に示すような「棚」を備えた直交する垂直の切り込みを利用したそれぞれのベスペル(Vespel)(登録商標)ポリイミド構造、および図21に示すようなチャンネルおよびポストを作り出すベスペル(Vespel)(登録商標)ポリイミドブロックを通した平行で垂直の切り込みを示す。前述した様々なパターンのうち任意のものを利用して、ワイヤはこれらの実施形態で織ってもよい。切り込まれたベスペル(Vespel)(登録商標)ポリイミドデバイス設計は、異なるかまたは多数の検知ワイヤのアレイを含むように、容易に適応される。パッケージングポストセンサ設計と同様に、全ての可能な切り込まれたベスペル(Vespel)(登録商標)ポリイミド構造および全ての織成パターンでマトリックスを製造して、全ての所望の、設計の置換をしたセンサが、評価のため、および所与のガス検知用途のための最良の設計を経験に基づいて選択するために提示されるようにしてもよい。
図20は、ベスペル(Vespel)(登録商標)ポリイミドブロック要素202が配置されたフランジ200を含むガスセンサアセンブリを示し、この要素202は、金属ポスト204と一緒に、図示のように、センサワイヤ206を織るための支持構造を提供する。ベスペル(Vespel)(登録商標)ポリイミドブロック要素は、検知ワイヤの垂直位置を調整するための棚を構成する目的で、いくつかの不完全な切り込みのある直交する切り込みを備えて機械加工される。
図21は、検知ワイヤ216を支持するためのベスペル(Vespel)(登録商標)ポリイミドブロック要素212のアレイおよびポスト214が配置されたフランジ210を含む別のガス検知アセンブリを示す。図21に示す構造は、垂直方向に平行な完全な切り込みを備えて機械加工されるベスペル(Vespel)(登録商標)ポリイミドブロック要素を特徴とし、回りにワイヤを織ることができるポストを、連続的な切り込みの間に作り出す。図20および21に例証的に示すガスセンサアセンブリ構造は両方とも、「S」形状の織成パターンを用いる。
ベスペル(Vespel)(登録商標)ポリイミド要素が、それを貫通して開けられた穴を有して「ペグボード」構造を作り出す本発明のガスセンサアセンブリをまた製造してもよく、この「ペグボード」構造にワイヤを通して、長い検知ワイヤ支持用のアーキテクチャを作成してもよい。
このようなアプローチは、図22〜25に示す例示的なガス検知アセンブリによって例証されている。
自身に開けた穴を備えた材料の平面板の形状をしているベスペル(Vespel)(登録商標)ポリイミド要素を用いることに加えて、他の広く変化するジオメトリの非平面「ペグボード」タイプ構造もまた、検知ワイヤ用の組立台要素として用いてもよい。たとえば、ベスペル(Vespel)(登録商標)ポリイミド円筒の中央に穴を開けることによって、チューブを作成してもよい。さらに、チューブの側面に沿ってより小さな穴を開けることによって円筒状の「ペグボード」タイプフレームワークを作り出し、このフレームワークに検知ワイヤを通して、その全長に沿ってワイヤの位置を調整できるように支持する。
このような「ペグボード」タイプの組立台に対して多くの変更が可能であり、この組立台は、ベスペル(Vespel)(登録商標)ポリイミド支持要素の数および寸法/形状、穴の数および開け方のパターン、およびこのような穴にワイヤを通して織る手はずに関して変更してもよい。検知ワイヤアレイは、このような「ペグボード」アーキテクチャを用いて、容易に構成可能であり、織成スタイルおよびパターンを選択的に変更してもよい。再び、マトリックスは、所与の対象ガス種検知用途の最良の可能なアーキテクチャを評価する目的で、デバイス設計の可能な置換で構成してもよい。
図22〜25はそれぞれ、機械加工されたベスペル(Vespel)(登録商標)ポリイミド構造を、検知ワイヤアレイを製造するための「ペグボード」タイプの組立台として用いるセンサデバイスのそれぞれの例のそれぞれの側面図、断面図(図22の場合を除いて)および上面図を含んでいる。
図22は、フランジ254に配置されたベスペル(Vespel)(登録商標)ポリイミド要素250および252を、金属接触ポスト256とともに示す。ベスペル(Vespel)(登録商標)ポリイミド要素250および252にはそれぞれ、検知ワイヤ260が通された多数の穴が含まれる(図22には、ガスセンサアセンブリの側面図および上面図だけがある一方で、それぞれの側面図、断面図および上面図表現が図23〜25のそれぞれに示されている)。図22に示す配置によって、「S」織成パターンを用いた水平織成アレイが提供される。
図23は、フランジ274上の金属ポスト272と協同する円筒状ベスペル(Vespel)(登録商標)ポリイミド要素270を示すが、検知ワイヤ276は、螺旋状アレイとしてベスペル(Vespel)(登録商標)ポリイミド要素に織られている。
図24は、図示のように、垂直織成検知ワイヤ306のために支持構造を提供するフランジ304上の別の円筒状ベスペル(Vespel)(登録商標)ポリイミド要素300および金属ポスト302を示す。
図25は、水平織成配列における検知ワイヤの「S」織成パターンを提供するために、フランジ314上の金属ポスト312と組み合わされた円筒状ベスペル(Vespel)(登録商標)ポリイミド要素310を示す。
別の態様において、本発明は微細加工された化学センサデバイスアレイを考察するが、微細加工された化学センサデバイスは、監視される対象種と反応する有機および/または無機センサ材料を含む被膜を有する。対象ガス種含有ガス環境へ暴露されるセンサ被膜は、監視される環境における対象種の存在を示す物理的、電気的および/または他の変化を生じる。このような微細加工されたセンサアレイは、監視される環境におけるフッ素種の検知ために有用に用いられる。
以下により完全に説明する特定の態様において、本発明のガス検知デバイスには、マイクロホットプレート検知構造を含んでもよい。
センサアレイの使用によって、多種の対象成分が検出できる。たとえば、4つの検知要素のアレイが用いられ、各要素が特定の対象種を検知するように指示した場合には、され、4つのこのような種を同時に検出することができる。よくあることだが、1つの対象種のみとの相互作用に限定された被膜を製造するのが難しい場合には、多数のデバイスからの応答を組み合わせるか、さもなければ互いに関してアルゴリズム的にうまく操作して、対象種の存在および濃度を明確に識別することができる。
たとえば、6つの非専用センサからの応答を組み合わせて、3つの対象ガス種を明白に識別することができる。さらに、マルチ要素アレイ内のセンサを、たとえば異なる温度などの異なる条件で個別に動作させて、利用可能な変数の数をさらに拡張し、独特の検知能力を生成することができる。
さらに、検知要素アレイを用いることによって、多数の冗長センサを1パッケージに組み入れることができる。成分センサ要素に関連してアレイを順次的に用い、それによって、全体的なセンサアセンブリパッケージの寿命を拡張するか、または検知プロセスで消費されるセンサ被膜を使用することが可能となる。
例として、アレイは、使用されていないセンサとの反応を抑えるために重合体被膜を用いてもよい。使用のときには、特定のアレイ要素の温度を選択的に変更して、重合体被膜を溶かすかまたは焼き払って、反応性被膜を露出してもよい。必要ならば、同様の方法で、追加要素をオンラインにすることができる。
多くの利点が、センサアレイを製造するための微細加工されたセンサ要素の使用には、本来備わっている。これらの利点として、限定するわけではないが、センサ要素の小型化、アレイ製造の容易さ、大容量に対する適応性、廉価な製造コスト、低電力消費、ならびに最終の検知アレイ構造のサイズおよびコストをさらに低減する、内蔵集積回路を収容する能力があげられる。
本明細書において例証的に説明するガスセンサアセンブリの他の形状に比べて、本発明の広範な実施においてそれほど好ましくはないが、本発明のガスセンサアセンブリは、マイクロホットプレートのセンサアセンブリとして製造してもよく、この場合、ガス検知要素は、活性フッ素種検知材料の上に保護被膜を備えて配置される。
フッ素種の検出のために使用可能な例示的な薄膜材料の例には、有用な金属種としてCr、Cu、W、Ni、AlおよびSiと、同様に高分子材料とが含まれる。このような検知要素は、三フッ化窒素(NF)がプラズマによって解離されて反応性フッ素種を形成する化学気相成長(CVD)プロセスで用いてもよい。
MEMSセンサデバイスに達するFまたはFは、アルミニウム、ニッケル、タングステン、クロム、ケイ素または他の活性センサ材料と反応し、結果として、材料の抵抗に変化もたらす。この抵抗の変化は、時間、センサ材料の動作温度、およびフッ素含有化合物またはイオン種の濃度の関数である。
可逆的デバイスにおいて、NFなどのフッ素化された反応生成物は検知材料に留まるであろうが、材料の抵抗はフッ素化された薄膜層の厚さに比例して増加するだろう。対象フッ素化合物が、監視されているガスストリームから除去されると、活性層の表面での反応は金属の方へと向かう。それによって、フッ素が除去されると、最初の抵抗が回復される。
不可逆的プロセスにおいて、六フッ化タングステンWFなどのフッ素化された反応生成物は、揮発し、ガスストリームで除去される。活性層の抵抗は、タングステンが除去されるとともに、増加する。抵抗は、フッ素化合物の除去で、減少しない。除去/抵抗上昇の比率は、存在するフッ素種の量を示す。この状況において、アレイにいくつかのデバイスを提供し、同じものを順次用いることは有利であろう。なぜなら、それらは、検知プロセスで消費されるからである。重合体または他の有機薄膜は、未使用の検知要素を効果的に覆うことができ、デバイスの動作で必要になったときに、溶かすか焼き払うことができる。
検知材料としての有機被膜の場合には、種々様々の導電性重合体が市販されている。このような重合体の例として、限定するわけではないが、ポリフェニレンビニレン、メタノールからキャストされた架橋結合可能な単量体、および特性がよく、市場で容易に入手可能なオクチルチオフェンがあげられる。
一般に、ガスは、それが吸着されるよりもゆっくりと、重合体から脱着される。したがって、吸着/脱着方式は、基板温度を迅速に上昇させるマイクロホットプレートの能力によって向上され、吸着されたガス種の迅速な脱着の達成を促進する。
酸性種の吸着によって、数オーダの規模で、導電性重合体の導電率が高くされる。半導体製造作業において酸化物チャンバ洗浄中に存在する塩化水素のような種の吸着は、オクチルチオフェンなどの重合体の導電率に影響する。HFは、酸化物に見出される水素と原子状フッ素の反応生成物であるので、フッ化水素もまた、チャンバ清浄プロセスの進行の指標として用いてもよい。それに対応して、重合体導電率は、一定のままであるかまたはフッ化水素レベルの低減につれて逆方向に動く可能性があり、チャンバ洗浄プロセスの終了を示す。
代替として、たとえば、オクチルチオフェンで形成された検知薄膜は、酸化物チャンバ洗浄中に存在するフッ素と反応し、重合体の導電特性の徹底的な低減を引き起こす可能性がある。なぜなら、フッ素と重合体の反応によって化学的性質が変えられるからである。
本発明のガス検知アセンブリにおけるマイクロホットプレートの実施形態が、対象ガス種検出における所与の最終使用用途のために当該技術分野の技術内で決定できるものとして、用いられる成分検知薄膜および反応/吸着作用に関連して広範に変更可能であることが理解されるであろう。2001年7月24日に、フランク・ディメオ・ジュニア(Frank DiMeo,Jr.)およびガウタム・バンダリ(Gautam Bahndari)に付与された米国特許第6,265,222号明細書(この特許の開示を、参照によって全体として、本明細書に組み入れている)により完全に説明されているように、本発明の実施に適応可能なタイプのマイクロホットプレート検出器を製造してもよい。
検知要素がフィラメントの形状をしている、現在1つの好ましい検知要素実施形態において、センサフィラメントには、たとえば、直径が約100ミクロンのオーダで、ニッケルメッキされたモネル(Monel)コアが含まれる。モネルの代わりに、鉄−ニッケル合金、ステンレス鋼、インジウム、バナジウム、およびコバルト合金など他のコア材料を、このような合成センサ要素のために用いてもよい。
ニッケルは、その特性においてきわめて抵抗性であり、モネルの抵抗率はさらに高い。したがって、これらの組み合わせにより、大きな抵抗を用いて検知信号の最大化が可能となり、その結果、より高い応答性および効果的な信号発生が達成される。
このようなコアおよびクラッドの合成フィラメント構造において、コア材料には、たとえば、合金汚染物質または他の考慮のために、それ自体はセンサ要素として適切ではないが、しかし、ニッケルなど別の材料が、めっきされたときに、コア材料の欠陥を補い、かつ全体として高信号、高抵抗率の合成センサ要素を提供できるようにする、高い抵抗を有する材料を含んでもよい。
本発明の詳細、特徴および実施形態は、次の非限定的な実施例に関連してより完全に例証される。
実施例1
厚さが4マイクロメートル(μm)である白金フォイルが、スポット溶接によって、8リード線TO−5ヘッダの4つのコンタクトに接続された。レーザ微細加工を用いて、80μm×50μmのおおよそのサイズを備えた、狭くてより高い抵抗エリアを形成した。中間の2コンタクト間のフォイルの室温(約25℃)抵抗は、0.14Ωだった。このフォイルは、フィラメント形状に再構成されたが、Ptテストサンプルだった。
このテストで用いられるNFプラズマテストマニホールドは、図26で概略的に示されているが、それは、Ptテストサンプル32の上流で、マニホールドフロー回路にシリコンウエハ30含むものとして(しかしながら、このウエハは半導体チャンバの洗浄プロセスシュミレーションテストにおいてのみフロー回路に提供され、ベースライン運転においては提供されない)示されている。
マニホールドフロー回路は、プラズマ発生器34を下流の主真空ポンプ36と連結するフロー導管を含み、今度はポンプ36が、ドライスクラバユニット38に連結された。マニホールド導管とプラズマ発生器34の連結部からPtテストサンプル32までの距離は、28インチだった。主真空ポンプ36とドライスクラバ38との間のマニホールド導管には、図示のように、関連ガスフロー管を介して、FTIRガスセル20が結合されているタップが備えられていた。テストマニホールドにおけるフローの方向は、「フロー方向」とラベル付けされた矢印によってフロー回路におけるそれぞれの位置で示されている。
自立性のPtフォイルが、図26に示すNFプラズマテストマニホールドに取り付けられ、様々なフッ素種に暴露された。プラズマテストは約5torrの圧力で行なわれた。50mAの定電流(1)が、フォイルを通して流され、電圧変化(ΔV)が、時間の関数として測定された。SiF濃度が、また時間の関数として、FTIRガスセル40を用いて、主真空ポンプ36の下流で測定された。
フォイルが、ベースライン条件(SiもSiOも存在せず)に暴露されたときに、図27に示すように、フォイルにおける電圧降下が、SiF濃度に類似した仕方で増加した。
NFプラズマがプラズマ発生器34によって発生され、ベースラインテストと同様に、後のチャンバ洗浄プロセスシュミレーションテストでマニホールドへ流された。NFプラズマは、半導体処理チャンバの側壁に存在している可能性のあるケイ素およびSiOを攻撃することで知られている。NFプラズマの副産物として、揮発性SiFがあげられる。チャンバが清浄されるとき、SiFの濃度は減少する。テストマニホールドにおいてこの発生をシミュレートするために、シリコンウエハ30が、SiFを発生させるために、マニホールドに備えられた。
Siが存在する状態で、時間の関数としての、暴露されたPtフォイル要素全体の電圧降下、および時間の関数としてのSiF濃度が、図28に示されている。
図29は、ベースライン実験とケイ素があるときの実験との間の電圧降下の差を示す。電圧降下の増加は、発生されたSiFの増加と極めてはっきりと相関関係にあり、反応は可逆的に見え、Pt抵抗は暴露前の値に返った。Ptフォイルにおける抵抗値の上昇は、公知の抵抗温度係数に基づいて計算されたそれよもはるかに大きかった。したがって、抵抗におけるこの増加は、NFプラズマ、Si排出物およびPtフォイル間の化学的相互作用に起因すると考えられた。
実施例2
三次元アーキテクチャの使用法を例示するために、3つのプロトタイプセンサが、図30〜35に示すように、機械加工されたベスペル(Vespel)(登録商標)ポリイミドで構成された。
図30および31は、それぞれ、アルミニウムフランジに取り付けられた円筒状のペグボード設計を利用する、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアレイの側面図(図30)、および上面図(図31)を示すが、各検知ワイヤは、圧入されたポストを介して4ポイントコンタクトを有している。
図32および33は、それぞれ、アルミニウムフランジに取り付けられ直交するように切り込まれたベスペル(Vespel)(登録商標)ポリイミドポストおよびチャンネル設計(棚を備えた)を利用する、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアセンブリの側面図(図32)および上面図(図33)を示すが、各検知ワイヤは、圧入されたポストを介して4ポイント電気コンタクトを有している。
図34および35は、それぞれ、アルミニウムフランジに取り付けられた、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアセンブリの側面図(図34)および上面図(図35)を示すが、この場合には、単一の検知ワイヤが、単一のベスペル(Vespel)(登録商標)ポリイミド円筒の長さを「上」および「下」へ織られることを可能とする可変長の螺旋状溝を備えたベスペル(Vespel)(登録商標)ポリイミド円筒を用いる。多数の円筒によって、多数の検知ワイヤの使用が可能となり、各検知ワイヤは、圧入されたポストを介して4ポイント電気コンタクトを有している。
図30〜31のセンサは、ベスペル(Vespel)(登録商標)ポリイミド円筒から機械加工し、図25における上記の非平面ペグボード構造を複製した。このプロトタイプは、ワイヤフォーマット当たり16ピン、4ポイント電気コンタクトの白金、ニッケル、銅およびアルミニウムワイヤを用いて、マルチ検知アレイで構成した。
図32〜33に示すセンサを機械加工し、図20示すチャネル、ポスト、および棚を備えた、直交するように切り込まれたベスペル(Vespel)(登録商標)ポリイミド構造を複製した。このプロトタイプは、ワイヤフォーマット当たり8ピン、4ポイント電気コンタクトの銅およびアルミニウムワイヤを用いて、マルチ検知アレイで構成した。
図34〜図35のセンサは、チャネルおよび棚設計の変形を表わす。このプロトタイプにおけるチャネルは、単一の円筒状ベスペル(Vespel)(登録商標)ポリイミドポストの長さに沿って螺旋状パターンに切り込まれ、検知ワイヤが、チャネルの内部に織られた。ベスペル(Vespel)(登録商標)ポリイミドポストの長さに沿って互いに交差する、異なる深さの2本の別個の螺旋状チャネルを切り込むことによって、検知ワイヤが、ポストの長さに沿って2つの通路を作り、1つの溝でポストを上へ、第2の溝でポストを下へ織られるようにすることができる。ポストは異なる深さを有しているので、ワイヤは、溝の交差点を通るとき、それ自身と接触しない。このプロトタイプは、ワイヤフォーマット当たり16ピン、4ポイント電気コンタクトの白金、ニッケル、銅およびアルミニウムワイヤを用いて、マルチ検知金属アレイで構成した。
実施例3
この実施例において、データは、100ウエハ運転の間に生成された。ガスセンサアセンブリは、ニッケル検知ワイヤおよび銅検知ワイヤのために組立台支持構造を提供する円筒状ペグボード部材を用いて構成された。銅ワイヤは円筒の上部に位置し、ニッケル検知ワイヤは円筒の下部に位置した。75ミリアンペアの定DC電流が、直列のニッケルワイヤおよび銅ワイヤを通して流された。
ニッケルワイヤおよび銅ワイヤは、それぞれ、直径が名目上100ミクロン、長さが約13〜14センチメートルだった。ニッケルワイヤの電気抵抗は、約1.3オームであり、銅ワイヤの抵抗は約0.255オームであった。
連続的な堆積およびチャンバ洗浄作業を受けているプロセスチャンバからのガス状排出物は、検知ワイヤと接触し、ガス検知ワイヤにおける抵抗の変化率が、堆積ステップおよびチャンバ洗浄ステップ両方の間に、時間の関数として監視された。
堆積ステップは、オルトケイ酸テトラエチル(TEOS)源試薬からのケイ素の、基板への堆積を含み、NFを用いたチャンバの清浄が後に続いた。ガス検知ワイヤの動的な抵抗動作をプロセス作業と相関させるために、ガス検知アセンブリと接触した後のチャンバからの排出ガスは、残留ガスアナライザ(RGA)ユニットを通された。RGAユニットの出力が時間の関数として監視され、図36のグラフに示すように、ガス検知アセンブリおよびRGAユニットのグラフ式出力が、時間の関数として重ねあわされた。
構成的な堆積および洗浄ステップにおいて用いられたプロセス条件は、以下に述べる。
TEOS堆積
チャンバ圧力=9Torr
チャンバ温度=390℃
RF電力=350ワット
TEOS流量=230sccm
ヘリウム流量=100sccm
酸素流量=220sccm
各TEOS堆積は、継続時間が120秒だった。
NF洗浄作業
チャンバ圧力=3.2Torr
チャンバ温度=390℃
RF電力=350ワット
ヘリウム流量=225sccm
NF流量=100sccm
各NFの洗浄サイクルは、継続時間が200秒だった。
図36は、グラフの上部に示されている、エンドポイントモニタ(EPM)としてのガス検知アセンブリの動作と、グラフの下部に示されている、残留ガスアナライザ(RGA)ガス濃度との、時間(分)の関数としてのグラフである。
曲線AおよびBは、時間の関数として、(オーム/分)×10−3単位で、抵抗における変化を示すが、これらは、前述のプロセス作業における連続的なオルトケイ酸テトラエチル(TEOS)堆積ステップおよび交互の三フッ化窒素(NF)洗浄プロセスのためのものである。
図36の下部は、時間(分)の関数として、残留ガスアナライザによって監視された、フッ素(曲線C)および四フッ化ケイ素(曲線D)の濃度を示す。
図36におけるグラフの上部および下部を重ね合せると次のことが明らかとなる。すなわち、テストにおけるエンドポイントモニタ検知要素(ワイヤ)の抵抗変化は、残留ガスアナライザによるフッ素種の検出とはっきりと相関し、ガス検知アセンブリが、チャンバ洗浄作業においてフッ素種を監視する非常に効果的な手段を提供したことを示しているということである。
本発明を、例証的な実施形態および特徴に関連して、本明細書で様々に説明してきたが、上記の実施形態および特徴は本発明を限定するように意図されたものではなく、他の変更、修正および他の実施形態が、本明細書の開示に基づいて、当業者には容易に思い浮かぶことが理解されるであろう。したがって、本発明は、特許請求の範囲と一致し、広く解釈すべきである。
図面の簡単な説明
本発明の一実施形態によるセンサの製造におけるプロセスフローを示す概略図である。 本発明の一実施形態によるセンサの製造におけるプロセスフローを示す概略図である。 本発明の一実施形態によるセンサの製造におけるプロセスフローを示す概略図である。 本発明の別の実施形態によるセンサアセンブリの製造における連続的なステップ(ステップA〜F)を示す。 メンブレン支持材料として耐化学薬品バリヤー層を備えたセンサアセンブリの製造における連続的なステップを示す。 メンブレン支持材料として耐化学薬品バリヤー層を備えたセンサアセンブリの製造における連続的なステップを示す。 メンブレン支持材料として耐化学薬品バリヤー層を備えたセンサアセンブリの製造における連続的なステップを示す。 メンブレン支持材料として耐化学薬品バリヤー層を備えたセンサアセンブリの製造における連続的なステップを示す。 垂直方向平面に織られたセンサワイヤを含むガスセンサアセンブリの概略図である。 水平方向平面に織られたセンサワイヤを含むガスセンサアセンブリの概略図である。 レーストラックパターンでポストの回りに巻かれたセンサワイヤの概略図である。 支持ポストに織られたセンサワイヤの数字8のパターンを示す。 支持ポストに巻かれたセンサワイヤの「S」形状の形態を示す。 レーストラック状織成技術を用いる垂直ウィーブガスセンサアセンブリを示す。 「S」形状のウィーブを有する織成ガスセンサアセンブリを示す。 本発明の別の実施形態によるガスセンサにおける織成の数字8の形態を示す。 図14のガスセンサアセンブリの上面図である。 図15のガスセンサアセンブリの上面図である。 図16のガスセンサアセンブリの上面図である。 検知ワイヤの垂直位置を調整するための棚を形成している直交する切り込みを備えて機械加工されたベスペル(Vespel)(登録商標)ポリイミドブロック要素を含むガスセンサアセンブリ示す。 フランジと、センサワイヤを回りに織ることができるポストを形成する完全に平行な切り込みを備えて機械加工されたベスペル(Vespel)(登録商標)ポリイミドブロック要素と、を含むガス検知アセンブリを示す。 小孔のあるベスペル(Vespel)(登録商標)ポリイミド要素アレイおよび検知ワイヤアセンブリを示す。 螺旋状アレイに織られたセンサワイヤを備えた円筒状のベスペル(Vespel)(登録商標)ポリイミド要素を示す。 垂直に織られた検知ワイヤのための支持構造を提供する円筒状のベスペル(Vespel)(登録商標)ポリイミド要素を示す。 円筒状ベスペル(Vespel)(登録商標)ポリイミド要素および検知ワイヤの「S」形状織成パターンを示す。 NFプラズマテストマニホールドの概略図である。 ベースラインPtフォイルテストのフーリエ変換赤外線(FTIR)監視からの電圧降下およびSiF濃度のグラフである。 シリコンチップが加えられたときの、PtフォイルのFTIR監視からの電圧降下およびSiF濃度のグラフである。 時間の関数としてのSiF濃度およびPt抵抗における変化の両方のグラフであり、SiF濃度は、排出ラインにおいてFTLRを用いて測定された。 本発明の別の実施形態による、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアセンブリの側面図である。 図30におけるセンサアレイの上面図である。 本発明のさらに別の実施形態による、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアセンブリの側面図である。 図34のセンサアセンブリの上面図である。 本発明のさらなる実施形態による、機械加工されたベスペル(Vespel)(登録商標)ポリイミドセンサアセンブリの側面図である。 図34におけるセンサアセンブリの上面図である。 グラフの上部に示された、本発明のさらなる実施形態により構成されたガス検知アセンブリのための、エンドポイントモニタの動作と、グラフの下部に示された、残留ガスアナライザ濃度との、時間(分)の関数として示されたグラフである。
JP2004544893A 2002-10-17 2003-10-15 半導体処理システムにおいてフッ素種を検知するための装置およびプロセス Expired - Fee Related JP4488897B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/273,036 US7080545B2 (en) 2002-10-17 2002-10-17 Apparatus and process for sensing fluoro species in semiconductor processing systems
PCT/US2003/032521 WO2004036175A2 (en) 2002-10-17 2003-10-15 Apparatus and process for sensing fluoro species in semiconductor processing systems

Publications (3)

Publication Number Publication Date
JP2006503291A JP2006503291A (ja) 2006-01-26
JP2006503291A5 true JP2006503291A5 (ja) 2009-10-22
JP4488897B2 JP4488897B2 (ja) 2010-06-23

Family

ID=32092714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004544893A Expired - Fee Related JP4488897B2 (ja) 2002-10-17 2003-10-15 半導体処理システムにおいてフッ素種を検知するための装置およびプロセス

Country Status (8)

Country Link
US (5) US7080545B2 (ja)
EP (1) EP1554561A4 (ja)
JP (1) JP4488897B2 (ja)
KR (1) KR101048370B1 (ja)
CN (1) CN1705871B (ja)
AU (1) AU2003301333A1 (ja)
TW (1) TWI322449B (ja)
WO (1) WO2004036175A2 (ja)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100044079A1 (en) * 1999-08-27 2010-02-25 Lex Kosowsky Metal Deposition
US6608370B1 (en) * 2002-01-28 2003-08-19 Motorola, Inc. Semiconductor wafer having a thin die and tethers and methods of making the same
US7619867B2 (en) * 2002-10-10 2009-11-17 International Business Machines Corporation Conformal coating enhanced to provide heat detection
US7080545B2 (en) * 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US7296458B2 (en) * 2002-10-17 2007-11-20 Advanced Technology Materials, Inc Nickel-coated free-standing silicon carbide structure for sensing fluoro or halogen species in semiconductor processing systems, and processes of making and using same
US7228724B2 (en) * 2002-10-17 2007-06-12 Advanced Technology Materials, Inc. Apparatus and process for sensing target gas species in semiconductor processing systems
US20040163445A1 (en) * 2002-10-17 2004-08-26 Dimeo Frank Apparatus and process for sensing fluoro species in semiconductor processing systems
US7063097B2 (en) * 2003-03-28 2006-06-20 Advanced Technology Materials, Inc. In-situ gas blending and dilution system for delivery of dilute gas at a predetermined concentration
US6890836B2 (en) * 2003-05-23 2005-05-10 Texas Instruments Incorporated Scribe street width reduction by deep trench and shallow saw cut
JP2007519905A (ja) * 2004-01-16 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システム内の対象ガス種を検知するための装置及び方法
US7193187B2 (en) * 2004-02-09 2007-03-20 Advanced Technology Materials, Inc. Feedback control system and method for maintaining constant resistance operation of electrically heated elements
CN100410657C (zh) * 2004-09-13 2008-08-13 中国科学院电子学研究所 电热双参数检测芯片的制备方法
US7791150B1 (en) 2004-09-25 2010-09-07 University Of Central Florida Research Foundation, Inc. Room temperature hydrogen sensor
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060211253A1 (en) * 2005-03-16 2006-09-21 Ing-Shin Chen Method and apparatus for monitoring plasma conditions in an etching plasma processing facility
KR101299791B1 (ko) * 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
US20100112795A1 (en) * 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
JP2009510269A (ja) * 2005-10-03 2009-03-12 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド チャンバのクリーニングプロセスのエンドポイントを決定するためのシステム及び方法
US20070176728A1 (en) * 2006-01-31 2007-08-02 Ranganath Tirumala R Tiled periodic metal film sensors
TWI473149B (zh) * 2006-04-26 2015-02-11 Advanced Tech Materials 半導體製程系統之清潔
US7728816B2 (en) * 2006-07-10 2010-06-01 Cypress Semiconductor Corporation Optical navigation sensor with variable tracking resolution
JP4739166B2 (ja) * 2006-10-24 2011-08-03 本田技研工業株式会社 ガスセンサ
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
US8519446B2 (en) * 2007-08-29 2013-08-27 Applied Nanotech Holdings, Inc. Etch resistant gas sensor
US20090058431A1 (en) * 2007-08-29 2009-03-05 Applied Nanotech Holdings, Inc. Etch resistant gas sensor
SG188150A1 (en) 2008-02-11 2013-03-28 Advanced Tech Materials Ion source cleaning in semiconductor processing systems
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120108465A1 (en) * 2009-09-28 2012-05-03 Duoss Eric B Fiber array sensor
US8410560B2 (en) * 2010-01-21 2013-04-02 Cambridge Cmos Sensors Ltd. Electromigration reduction in micro-hotplates
US20120270205A1 (en) * 2011-04-19 2012-10-25 Patel Sanjay V High-flux chemical sensors
JP5342602B2 (ja) * 2011-05-20 2013-11-13 本田技研工業株式会社 ガスセンサ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5622675B2 (ja) * 2011-07-05 2014-11-12 株式会社東芝 基板処理方法及び基板処理装置
US9246024B2 (en) 2011-07-14 2016-01-26 International Business Machines Corporation Photovoltaic device with aluminum plated back surface field and method of forming same
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9400197B2 (en) * 2011-09-19 2016-07-26 The Regents Of The University Of Michigan Fluid flow sensor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20220025123A (ko) 2012-02-14 2022-03-03 엔테그리스, 아이엔씨. 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류
EP2629084B1 (en) * 2012-02-17 2018-05-02 ams international AG Integrated circuit and manufacturing method
US8988667B2 (en) 2012-03-28 2015-03-24 Texas Instruments Incorporated Halogen gas sensor comprising cobalt
TWI497057B (zh) 2012-03-28 2015-08-21 Ind Tech Res Inst 光學式氣體檢測器
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014143782A1 (en) 2013-03-15 2014-09-18 The Cleveland Clinic Foundation Miniaturized gas sensor device and method
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN105396568B (zh) * 2015-12-17 2017-09-12 中国矿业大学 一种mems甲烷传感器的催化剂载体圆片级制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10787303B2 (en) 2016-05-29 2020-09-29 Cellulose Material Solutions, LLC Packaging insulation products and methods of making and using same
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US11078007B2 (en) 2016-06-27 2021-08-03 Cellulose Material Solutions, LLC Thermoplastic packaging insulation products and methods of making and using same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102007428B1 (ko) * 2017-03-09 2019-08-05 코닝 인코포레이티드 글라스 지지체에 의하여 지지되는 금속 박막의 제조방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110651179B (zh) * 2017-04-26 2023-08-15 内华达纳米技术系统公司 包含具有电阻加热器的微热板的气体传感器及相关方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11555798B2 (en) * 2018-10-08 2023-01-17 Eci Technology, Inc. Selective monitoring of multiple silicon compounds
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN111620295B (zh) * 2020-05-27 2023-06-27 南京信息工程大学 一种微压探测压力传感器及其测量装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1646247A (en) * 1923-11-29 1927-10-18 Peter Jung Apparatus for ascertaining the composition of gaseous mixtures
US1643155A (en) * 1926-01-18 1927-09-20 Bailey Meter Co Gas-analysis apparatus
US1727185A (en) * 1927-10-11 1929-09-03 Thornton William Mundell Electric lamp for use in mines
US1798977A (en) 1927-12-05 1931-03-31 Union Carbide Corp Head for gas detectors
US1971038A (en) * 1932-03-25 1934-08-21 Charles Engelhard Inc Thermal conductivity cell
US1995870A (en) * 1932-05-12 1935-03-26 Gen Electric Gas detector
US2194520A (en) * 1938-05-14 1940-03-26 William A Darrah Process and equipment for monitoring fluids
US2194250A (en) 1938-10-26 1940-03-19 Interchem Corp Chemical apparatus
US2393650A (en) * 1939-06-14 1946-01-29 Cons Eng Corp Apparatus for analyzing hydrocarbons
US2306509A (en) * 1939-10-02 1942-12-29 Talmey Paul Gas detector
GB636647A (en) 1947-12-09 1950-05-03 Ralph Poole Improvements in or relating to apparatus for detecting the presence of explosive or toxic gases
US2774652A (en) * 1951-09-22 1956-12-18 Gen Electric Halogen vapor detector
GB821821A (en) * 1954-08-10 1959-10-14 British Aluminium Co Ltd Improvements in the determination of the gas content of liquid metals
US3270232A (en) 1961-07-10 1966-08-30 Gen Electric Gaseous discharge device with shield for directly heated cathode
US3232712A (en) 1962-08-16 1966-02-01 Continental Lab Inc Gas detector and analyzer
US3315245A (en) 1964-01-10 1967-04-18 Johnson Williams Inc Gas detection apparatus
GB1143549A (ja) 1965-03-19
US3478574A (en) 1965-05-24 1969-11-18 Abcor Inc Thermal conductivity detector
US3437511A (en) 1966-04-07 1969-04-08 Us Air Force Metal surfaced with boron and coating of silicon,silicon carbide or titanium nitride
GB1215002A (en) 1967-02-02 1970-12-09 Courtaulds Ltd Coating carbon with metal
US3522010A (en) 1968-01-10 1970-07-28 Erdco Eng Corp Combustible gas detector sampling head
US3523408A (en) * 1968-04-02 1970-08-11 Pall Corp Gas separator
NO119034B (ja) 1968-08-28 1970-03-16 Oppegaard A
US3676293A (en) 1970-04-22 1972-07-11 Monsanto Co Laminated article
US3764269A (en) * 1971-12-28 1973-10-09 North American Rockwell Sensor for fluid components
US3892528A (en) 1973-04-02 1975-07-01 Oceanography Int Corp Method and apparatus for vaporizing liquids to be contacted with a carrier gas
US3999947A (en) * 1974-10-11 1976-12-28 Matsushita Electric Industrial Co., Ltd. Reducing gas sensor and a method of producing the same
US3975210A (en) 1975-03-27 1976-08-17 The United States Of America As Represented By The Secretary Of The Air Force Metal-gas battery with axial reactant gas storage cavity
US4319000A (en) * 1975-05-27 1982-03-09 International Harvester Company Closed cell polyimides
GB1574699A (en) * 1975-10-10 1980-09-10 Luc Technologies Ltd Conductive connections
JPS5263245A (en) * 1975-11-20 1977-05-25 Ricoh Co Ltd Non-aqueous resin dispersions and their preparation
US4087693A (en) * 1976-03-17 1978-05-02 Rosemount Inc. Sensors for use in nuclear reactor cores
US4019861A (en) 1976-06-30 1977-04-26 Corning Glass Works Method and apparatus for measurement of CO2 and chloride in body fluids
SU857824A1 (ru) * 1979-11-14 1981-08-23 Всесоюзный научно-исследовательский институт аналитического приборостроения Термокондуктометрический детектор
SU1379632A1 (ru) * 1980-05-05 1988-03-07 Предприятие П/Я В-2609 Чувствительный элемент теплового преобразовател расхода газа
DE3019387C2 (de) 1980-05-21 1986-01-23 Siemens AG, 1000 Berlin und 8000 München Dünnschicht-Halbleiter-Gassensor mit einem in den Sensoraufbau integrierten Heizelement
US4358949A (en) * 1980-11-13 1982-11-16 The United States Of America As Represented By The Secretary Of The Air Force Argon purity tester
JPS57178145A (en) 1981-04-25 1982-11-02 Ngk Spark Plug Co Ltd Gas sensitive element
US4367127A (en) 1981-06-29 1983-01-04 Vanguard Research Associates, Inc. Metals recovery cell and electrode assembly for same
US4444397A (en) * 1981-12-04 1984-04-24 Senoh Kabushiki Kaisha Adjusting device for a net pole
US4680093A (en) 1982-03-16 1987-07-14 American Cyanamid Company Metal bonded composites and process
US4447397A (en) 1982-08-05 1984-05-08 Bacharach Instrument Company Catalytic gas sensor
DE3303885A1 (de) * 1983-02-05 1984-08-09 Robert Bosch Gmbh, 7000 Stuttgart Vorrichtung zur messung der masse eines stroemenden mediums
CA1216330A (en) * 1983-02-07 1987-01-06 Junji Manaka Low power gas detector
US4604895A (en) * 1983-05-02 1986-08-12 Air Sensor Inc. Hot wire anemometer
US5055266A (en) 1984-03-02 1991-10-08 Arch Development Corporation Method for detecting toxic gases
US4662212A (en) 1984-09-10 1987-05-05 Sumitomo Bakelite Company Limited Measuring instrument for concentration of gas
DE3500554C1 (de) 1985-01-10 1986-01-09 Degussa Ag, 6000 Frankfurt Verwendung von Nickellegierungen für Brillengestelle
US4723438A (en) 1985-12-19 1988-02-09 Spectral Sciences, Inc. Spark spectroscopic high-pressure gas analyzer
US4685325A (en) * 1986-02-03 1987-08-11 Aluminum Company Of America Measurement of gas content in molten metal using a constant current source
EP0237017B1 (en) * 1986-03-11 1995-09-06 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Electric-electronic device including polyimide thin film
US4671852A (en) * 1986-05-07 1987-06-09 The Standard Oil Company Method of forming suspended gate, chemically sensitive field-effect transistor
US5229625A (en) * 1986-08-18 1993-07-20 Sharp Kabushiki Kaisha Schottky barrier gate type field effect transistor
ATE73935T1 (de) * 1987-07-07 1992-04-15 Siemens Ag Sensor fuer gase oder ionen.
US4829819A (en) * 1987-07-21 1989-05-16 Environmental Instruments, Inc. In-line dual element fluid flow probe
JPH0194255A (ja) * 1987-10-05 1989-04-12 Nissin Electric Co Ltd 油中ガスセンサ
JPH0288955A (ja) * 1988-09-26 1990-03-29 Snow Brand Milk Prod Co Ltd 流体の状態変化を測定するディスポーザブルセンサー
US5081869A (en) * 1989-02-06 1992-01-21 Alcan International Limited Method and apparatus for the measurement of the thermal conductivity of gases
US4953387A (en) * 1989-07-31 1990-09-04 The Regents Of The University Of Michigan Ultrathin-film gas detector
US5098864A (en) * 1989-11-29 1992-03-24 Olin Corporation Process for manufacturing a metal pin grid array package
JP2955583B2 (ja) 1990-01-23 1999-10-04 株式会社リケン ガスセンサ用検知素子
US5284569A (en) 1990-10-18 1994-02-08 Leybold Inficon Inc. Miniature gas sensor
US5104513A (en) * 1990-10-18 1992-04-14 Leybold Inficon Inc. Gas sensor
US5238729A (en) * 1991-04-05 1993-08-24 Minnesota Mining And Manufacturing Company Sensors based on nanosstructured composite films
US5273779A (en) * 1991-12-09 1993-12-28 Industrial Technology Research Institute Method of fabricating a gas sensor and the product fabricated thereby
JPH05166691A (ja) * 1991-12-19 1993-07-02 Toshiba Corp 半導体素子の生産システム
JP2781698B2 (ja) * 1992-03-17 1998-07-30 九州日本電気株式会社 半導体装置の製造装置
DK0588153T3 (da) * 1992-09-14 1997-06-16 Siemens Ag Gassensor
US5356756A (en) 1992-10-26 1994-10-18 The United States Of America As Represented By The Secretary Of Commerce Application of microsubstrates for materials processing
US5464966A (en) 1992-10-26 1995-11-07 The United States Of America As Represented By The Secretary Of Commerce Micro-hotplate devices and methods for their fabrication
US5380192A (en) 1993-07-26 1995-01-10 Teledyne Industries, Inc. High-reflectivity porous blue-flame gas burner
US5605612A (en) * 1993-11-11 1997-02-25 Goldstar Electron Co., Ltd. Gas sensor and manufacturing method of the same
US5738731A (en) 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
US5484966A (en) * 1993-12-07 1996-01-16 At&T Corp. Sensing stylus position using single 1-D image sensor
JP2865554B2 (ja) 1994-04-08 1999-03-08 セントラル硝子株式会社 フルオロメチル−1,1,1,3,3,3−ヘキサフルオロイソプロピルエーテルのガスクロマトグラフ分析
US5451371A (en) * 1994-06-09 1995-09-19 Ford Motor Company High-sensitivity, silicon-based, microcalorimetric gas sensor
JP3533583B2 (ja) 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
US5788833A (en) * 1995-03-27 1998-08-04 California Institute Of Technology Sensors for detecting analytes in fluids
FR2736205B1 (fr) * 1995-06-30 1997-09-19 Motorola Semiconducteurs Dispositif detecteur a semiconducteur et son procede de formation
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US5602051A (en) * 1995-10-06 1997-02-11 International Business Machines Corporation Method of making stacked electrical device having regions of electrical isolation and electrical connection on a given stack level
US5791983A (en) 1995-10-20 1998-08-11 Healthy Buildings International Demand ventilation system
KR0161450B1 (ko) * 1995-11-08 1999-02-01 김광호 검출능력이 향상된 누설가스 검출방법 및 그의 장치
US6196052B1 (en) * 1996-01-17 2001-03-06 Advanced Technology Materials, Inc. Piezoelectric gas sensing device for detection of a gas species a gaseous environment
US5612489A (en) 1996-02-14 1997-03-18 Air Products And Chemicals, Inc. Enhanced sensitivity for oxygen and other interactive gases in sample gases using gas chromatography
US5693545A (en) * 1996-02-28 1997-12-02 Motorola, Inc. Method for forming a semiconductor sensor FET device
EP0801296A1 (de) 1996-03-25 1997-10-15 Cerberus Ag Photoakustischer Gassensor
US5827952A (en) 1996-03-26 1998-10-27 Sandia National Laboratories Method of and apparatus for determining deposition-point temperature
DE19621997C1 (de) * 1996-05-31 1997-07-31 Siemens Ag Elektrochemischer Sensor
US5752410A (en) 1996-08-08 1998-05-19 The Charles Stark Draper Laboratory, Inc. Tunneling sensor with linear force rebalance and method for fabricating the same
US5849113A (en) 1996-09-27 1998-12-15 The Foundation: The Research Institute Of Electric And Magnetic Alloys Electrical resistant alloy having a high temperature coefficient of resistance
WO1998021629A2 (en) * 1996-11-15 1998-05-22 Diffraction, Ltd. In-line holographic mask for micromachining
US5834627A (en) * 1996-12-17 1998-11-10 Sandia Corporation Calorimetric gas sensor
US5827947A (en) 1997-01-17 1998-10-27 Advanced Technology Materials, Inc. Piezoelectric sensor for hydride gases, and fluid monitoring apparatus comprising same
US6274198B1 (en) * 1997-02-24 2001-08-14 Agere Systems Optoelectronics Guardian Corp. Shadow mask deposition
US20010009652A1 (en) * 1998-05-28 2001-07-26 Jose I. Arno Apparatus and method for point-of-use abatement of fluorocompounds
JPH11132857A (ja) * 1997-10-28 1999-05-21 Matsushita Electric Works Ltd 赤外線検出器
US6179413B1 (en) * 1997-10-31 2001-01-30 Hewlett-Packard Company High durability polymide-containing printhead system and method for making the same
US6009742A (en) 1997-11-14 2000-01-04 Engelhard Corporation Multi-channel pellistor type emission sensor
NL1008665C1 (nl) 1998-03-20 1999-09-21 Berkin Bv Mediumstroommeter.
US6167761B1 (en) 1998-03-31 2001-01-02 Hitachi, Ltd. And Hitachi Car Engineering Co., Ltd. Capacitance type pressure sensor with capacitive elements actuated by a diaphragm
US6455232B1 (en) * 1998-04-14 2002-09-24 Applied Materials, Inc. Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
US6499354B1 (en) 1998-05-04 2002-12-31 Integrated Sensing Systems (Issys), Inc. Methods for prevention, reduction, and elimination of outgassing and trapped gases in micromachined devices
US5932176A (en) * 1998-07-07 1999-08-03 Bacharach, Inc. Halogen gas detector
GB2339474B (en) 1998-07-10 2000-07-05 Draeger Sicherheitstech Gmbh A flashback barrier
US6155100A (en) * 1998-07-27 2000-12-05 General Electric Company Gas sensor with protective gate, method of forming the sensor, and method of sensing
US6444487B1 (en) * 1998-07-28 2002-09-03 Rosemount Aerospace Inc. Flexible silicon strain gage
JP4041228B2 (ja) * 1998-10-07 2008-01-30 エフアイエス株式会社 フロンガスセンサおよびその製造方法
US6202742B1 (en) * 1998-11-03 2001-03-20 Halliburton Energy Services, Inc. Pack-off device for use in a wellbore having a packer assembly located therein
US6596236B2 (en) * 1999-01-15 2003-07-22 Advanced Technology Materials, Inc. Micro-machined thin film sensor arrays for the detection of H2 containing gases, and method of making and using the same
US6265222B1 (en) 1999-01-15 2001-07-24 Dimeo, Jr. Frank Micro-machined thin film hydrogen gas sensor, and method of making and using the same
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
US6171378B1 (en) * 1999-08-05 2001-01-09 Sandia Corporation Chemical preconcentrator
US6305214B1 (en) * 1999-08-26 2001-10-23 Sensor Tek, Llc Gas sensor and methods of forming a gas sensor assembly
US6100587A (en) * 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6428713B1 (en) 1999-10-01 2002-08-06 Delphi Technologies, Inc. MEMS sensor structure and microfabrication process therefor
WO2001027327A2 (en) 1999-10-08 2001-04-19 Protogene Laboratories, Inc. Method and apparatus for performing large numbers of reactions using array assembly
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6413923B2 (en) * 1999-11-15 2002-07-02 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
GB2358060B (en) * 2000-01-05 2003-09-24 Ion Science Ltd Hydrogen collection and detection
US6634213B1 (en) * 2000-02-18 2003-10-21 Honeywell International Inc. Permeable protective coating for a single-chip hydrogen sensor
DE10011562C2 (de) * 2000-03-09 2003-05-22 Daimler Chrysler Ag Gassensor
US7179653B2 (en) * 2000-03-31 2007-02-20 Showa Denko K.K. Measuring method for concentration of halogen and fluorine compound, measuring equipment thereof and manufacturing method of halogen compound
US6553354B1 (en) 2000-04-04 2003-04-22 Ford Motor Company Method of probabilistically modeling variables
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6383401B1 (en) * 2000-06-30 2002-05-07 International Flex Technologies, Inc. Method of producing flex circuit with selectively plated gold
US6576972B1 (en) 2000-08-24 2003-06-10 Heetronix High temperature circuit structures with expansion matched SiC, AlN and/or AlxGa1-xN(x>0.69) circuit device
TW468233B (en) 2000-09-16 2001-12-11 Univ Nat Yunlin Sci & Tech Apparatus and measurement method of hysteresis and time shift for ISFET containing amorphous silicon hydride sensing membrane
WO2002030561A2 (en) 2000-10-10 2002-04-18 Biotrove, Inc. Apparatus for assay, synthesis and storage, and methods of manufacture, use, and manipulation thereof
JP2002116172A (ja) * 2000-10-10 2002-04-19 Ngk Spark Plug Co Ltd 湿度センサ
EP1350097A4 (en) * 2000-12-05 2010-01-13 Bill Hoagland HYDROGEN GAS GAUGE SYSTEM
US6443179B1 (en) * 2001-02-21 2002-09-03 Sandia Corporation Packaging of electro-microfluidic devices
US7141859B2 (en) * 2001-03-29 2006-11-28 Georgia Tech Research Corporation Porous gas sensors and method of preparation thereof
US6691554B2 (en) * 2001-04-11 2004-02-17 The University Of Chicago Nanocrystalline films for gas-reactive applications
US6553335B2 (en) * 2001-06-21 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
JP2003043001A (ja) 2001-07-30 2003-02-13 Ngk Spark Plug Co Ltd ガスセンサ
RU2323717C2 (ru) * 2001-12-19 2008-05-10 Астразенека Аб Новое пленочное покрытие
EP1466145A4 (en) * 2002-01-18 2007-04-18 Univ Illinois SMALL SCALE ANEMOMETER LOCATED OUT OF THE PLAN
US20050103097A1 (en) * 2002-01-24 2005-05-19 Carsten Faltum Sensor
EP1347290B1 (en) * 2002-03-22 2007-07-25 Instrumentarium Corporation Gas analyzer using thermal detectors
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
US7129519B2 (en) 2002-05-08 2006-10-31 Advanced Technology Materials, Inc. Monitoring system comprising infrared thermopile detector
US7430897B2 (en) 2002-09-19 2008-10-07 National Research Council Of Canada Method and apparatus for detecting and locating gas leaks
US7296458B2 (en) * 2002-10-17 2007-11-20 Advanced Technology Materials, Inc Nickel-coated free-standing silicon carbide structure for sensing fluoro or halogen species in semiconductor processing systems, and processes of making and using same
US20040163445A1 (en) * 2002-10-17 2004-08-26 Dimeo Frank Apparatus and process for sensing fluoro species in semiconductor processing systems
US7228724B2 (en) * 2002-10-17 2007-06-12 Advanced Technology Materials, Inc. Apparatus and process for sensing target gas species in semiconductor processing systems
US7080545B2 (en) * 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US20040093853A1 (en) 2002-11-08 2004-05-20 Hemingway Mark D. System and method for using nonthermal plasma reactors
US20060211253A1 (en) 2005-03-16 2006-09-21 Ing-Shin Chen Method and apparatus for monitoring plasma conditions in an etching plasma processing facility
US8883371B2 (en) 2007-10-16 2014-11-11 Motorola Mobility Llc Hydrogen storage materials and hydrogen fuel cells

Similar Documents

Publication Publication Date Title
JP4488897B2 (ja) 半導体処理システムにおいてフッ素種を検知するための装置およびプロセス
JP2006503291A5 (ja)
JP2007536503A (ja) 半導体処理システム内のフッ素またはハロゲン種を感知するニッケルコーティング自立炭化ケイ素シリコン構造ならびに、その作製および使用方法
JP2007536503A5 (ja)
JP2008538051A (ja) エッチングプラズマ処理設備のプラズマ状態をモニタするための方法及び装置
US20060251543A1 (en) Miniaturized gas sensors featuring electrical breakdown in the vicinity of carbon nanotube tips
KR20080059619A (ko) 챔버 세정 공정의 종료점을 결정하기 위한 시스템 및 방법
KR20100065321A (ko) 가스의 화학적 조성을 확인하는 방법 및 장치
WO2005017175A2 (en) Chemical sensor responsive to change in volume of material exposed to target particle
CN109752428B (zh) 用mems制造技术构造的电化学气体传感器
US7228724B2 (en) Apparatus and process for sensing target gas species in semiconductor processing systems
AU647749B2 (en) Self-supporting thin-film filament detector, process for its manufacture and its applications to gas detection and gas chromatography
JP2007519905A (ja) 半導体処理システム内の対象ガス種を検知するための装置及び方法
Wright Microdischarge-based pressure controlling devices and their applications to chemical sensing in harsh environments
WO2003048753A2 (en) A method and device for measuring atomic hydrogen flow density