JP2006501648A - プラズマ処理システムにおける改良された光学窓堆積シールドのための方法及び装置 - Google Patents

プラズマ処理システムにおける改良された光学窓堆積シールドのための方法及び装置 Download PDF

Info

Publication number
JP2006501648A
JP2006501648A JP2004539389A JP2004539389A JP2006501648A JP 2006501648 A JP2006501648 A JP 2006501648A JP 2004539389 A JP2004539389 A JP 2004539389A JP 2004539389 A JP2004539389 A JP 2004539389A JP 2006501648 A JP2006501648 A JP 2006501648A
Authority
JP
Japan
Prior art keywords
deposition shield
optical window
window deposition
insert
plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004539389A
Other languages
English (en)
Other versions
JP4585316B2 (ja
Inventor
伸也 西本
康至 三橋
秀仁 三枝
均 高瀬
博之 中山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006501648A publication Critical patent/JP2006501648A/ja
Application granted granted Critical
Publication of JP4585316B2 publication Critical patent/JP4585316B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass

Abstract

【課題】プラズマ処理システム用の改良された光学窓堆積シールドを提供する。
【解決手段】プラズマ処理システムにおいて堆積シールド14を通して処理空間12にアクセスするための光学窓堆積シールド14は、前面84及び周辺面86を具備するプラグ80と、プラグ80に結合されたフランジ82とを具備する。フランジ82は、第1の面88と、第2の面90と、縁部面92とを具備する。第1の面80の一部は、係合面110を具備する。光学窓堆積シールド14の複数の露出面145に保護バリア150が結合される。露出面145は、プラグ80の前面84、プラグ80の周辺面86、及び係合面110を除くフランジ82の第1の面88を含む。

Description

本発明は、プラズマ処理システム用の改良されたコンポーネントに関し、特に、堆積シールドを通して処理空間に対する光学的アクセスを提供するように、プラズマ処理システムにおいて使用される光学窓堆積シールドに関する。
本件出願は、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置」)、代理人番号226272US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号226274US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたバッフル板のための方法及び装置」)、代理人番号228411US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された堆積シールドのための方法及び装置」)、代理人番号226275US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された上部電極板のための方法及び装置」)、代理人番号225277US6YAと、同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良されたベローズシールドのための方法及び装置」)、代理人番号226277US6YAと、に関連している。これらの全ての明細書の内容全体は参考文献として本願に全体的に組み込まれる。
半導体産業における集積回路(IC)の作製では、基板から材料を除去するため並びに基板に対して材料を堆積するのに必要な表面での化学反応を、プラズマ反応室内で創出すると共に支援するため、プラズマが一般的に使用される。通常、プラズマは真空状態のプラズマ反応室内で形成され、これは、供給された処理ガスとのイオン化衝突を維持するのに十分なエネルギにまで電子を加熱することにより行われる。更に、加熱された電子は、解離衝突を維持するのに十分なエネルギを具備することができる。従って、チャンバ内で行われる特定の処理(例えば、材料を基板から除去するエッチング処理、または材料を基板に付加する堆積処理)に適した荷電種及び化学反応種の密度が発生されるように、所定の条件(例えば、チャンバ圧力、ガス流量等)における特定のガスの組み合わせが選択される。
基板表面でプラズマ処理システムの機能(即ち、材料のエッチング、材料の堆積等)を実行するため、荷電種(イオン等)及び化学反応種の密度を形成することが必要となる。しかし、処理チャンバの内部におけるその他のコンポーネント表面は、物理的及び化学的に活性なプラズマに露出され、時間の経過と共に腐食する。プラズマ処理システムにおける露出されたコンポーネントの腐食は、プラズマ処理性能を漸進的に劣化させると共に、最終的には、システムを完全に故障させる原因となる。
処理プラズマに対する露出により継続する損傷を最少にするため、処理プラズマに対する露出を維持することで知られているプラズマ処理システムのコンポーネントが、保護バリアで被覆される。例えば、アルミニウムから作製されるコンポーネントは、プラズマに対してより耐性がある酸化アルミニウムの表面層を生成するように陽極酸化されることができる。他の例では、シリコン、石英、アルミナ、カーボン、またはシリコンカーバイドから作製されるような、消耗可能または交換可能なコンポーネントが、より貴重なコンポーネント(頻繁に交換するとコストを増加させる)の表面を保護するために処理チャンバ内に挿入されることができる。更に、望ましくない汚染物質や不純物などが処理プラズマに導入され且つ場合によっては基板上に形成されるデバイスにまで導入されることを最少化することができる表面材料を選択することが望ましい。
上述の両方の場合において、保護バリアの一体性または保護バリア作製の一体性や、交換可能なコンポーネントの消耗性により、保護被覆の故障は不可避なもので、プラズマ処理システムの頻繁なメンテナンスが必要となる。この頻繁なメンテナンスは、プラズマ処理の休止時間や新しいプラズマ処理チャンバコンポーネントに関連するコストを発生させ、それが過大なものとなる可能性がある。
本発明は、堆積シールドを通してプラズマ処理システムの処理空間に対して光学的アクセスを行うための改良された光学窓堆積シールドを提供し、この光学窓堆積シールドの構造及び製法は、上述の欠点を有効に解決する。
本発明のある視点によれば、光学窓堆積シールドが提供される。この光学窓堆積シールドは、堆積シールドに形成された開口を通して延在するように構成されたプラグと、プラグに結合されると共に、光学窓堆積シールドを堆積シールドに取付けるように構成されたフランジとを具備する。プラグは、前面及びこれに結合された周辺面を具備する。フランジは、第1の面、第2の面、及び縁部面を具備し、第1の面は、係合面を具備する。
本発明の別の視点によれば、光学窓堆積シールドは、プラグの前面及びフランジの第2の面に結合されると共に、光の通過を許容するように構成された少なくとも1つの光学貫通孔を具備する。この光学貫通孔は、プラグの前面に結合された露出入口面と、露出入口面及びフランジの第2の面に結合された内部貫通孔面とを具備する。
本発明の別の視点によれば、光学窓堆積シールドは、フランジの第1の面の係合面及びフランジの第2の面に結合されると共に、締結具を受けるように構成された複数の締結レセプタを具備する。各締結レセプタは、入口領域、貫通孔領域、出口貫通孔、内部締結面、及び締結凹面を具備することができる。
本発明の別の視点によれば、光学窓堆積シールドは、処理プラズマに露出される光学窓堆積シールドの複数の露出面上に形成された保護バリアを更に具備する。
本発明の別の視点によれば、光学窓堆積シールドの露出面は、プラグの前面、プラグの周辺面、係合面を除くフランジの第1の面、及び少なくとも1つの光学貫通孔の露出入口面を含む。
本発明によれば、プラズマ処理システムの光学窓堆積シールドを作製する方法が更に提供される。この方法は、光学窓堆積シールドを作製するステップと、光学窓堆積シールド上に表面陽極酸化層を形成するために光学窓堆積シールドを陽極酸化するステップと、表面陽極酸化層を除去するために光学窓堆積シールド上の露出面を機械加工するステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムの光学窓堆積シールドを作製する別の方法が提供される。この方法は、光学窓堆積シールドを製作するステップと、表面陽極酸化層の形成を阻止するために光学窓堆積シールド上の露出面をマスクするステップと、光学窓堆積シールド上に表面陽極酸化層を形成するために光学窓堆積シールドを陽極酸化するステップと、露出面を脱マスクするステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明によれば、プラズマ処理システムの光学窓堆積シールドを作製する別の方法が提供される。この方法は、光学窓堆積シールドを製作するステップと、露出面上に保護バリアを形成するステップと、を具備する。
本発明はまた、陽極酸化の前に露出面の一部をマスクする一方で露出面の他の一部をマスクしないでおくステップと、マスクされない表面を陽極酸化するステップと、マスクされないで陽極酸化された露出面の部分を機械加工するステップと、マスクされていた露出面の部分を脱マスクするステップと、露出面上に保護バリアを形成するステップとを組み合わせた他の方法も含む。
上述のいずれの方法も、露出面ではない、陽極酸化された(または被覆された)表面を機械加工するステップを随意選択的に含むことができる(例えば、機械加工された表面が他の部分と係合する部位に、むき出しの金属接触を得るため)。
本発明の別の視点によれば、光学窓堆積シールドは、光学貫通孔を具備していないインサートとして機能し、このインサートは、上述の方法のいずれを使用しても作成することができる。
本発明のこれら及びその他の利点は、添付図面を参照した以下の本発明の例示的な実施形態の詳細な説明から更に明白になり、容易に認識されるであろう。
本発明は、堆積シールドを通して処理空間に対する光学的アクセスを提供するためのプラズマ処理システム用の改良された光学窓堆積シールドを提供し、この光学窓堆積シールドの構造及び製法は、既知の欠点に有効に対処する。
本発明の1実施形態によれば、プラズマ処理システム1は、図1に示されるように、プラズマ処理チャンバ10、上部アセンブリ20、電極板24、基板35を支持するための基板ホルダ30、プラズマ処理チャンバ10内に減圧雰囲気11を与えるための真空ポンプ(図示せず)に結合されたポンプダクト40を具備する。プラズマ処理チャンバ10は、基板35付近の処理空間12内における処理プラズマの形成を容易にする。プラズマ処理システム1は、任意の基板(例えば、200mmの基板、300mmの基板、または、それより大きい基板)を処理するように構成することができる。
図示の実施形態において、上部アセンブリ20は、カバー、ガス注入アセンブリ、及び上部電極インピーダンス整合ネットワークの少なくとも1つを具備することができる。例えば、電極板24は、RF源に結合されることができる。別の実施形態において、上部アセンブリ20は、カバーと電極板24とを具備し、電極板24は、プラズマ処理チャンバ10の電位に等しい電位に維持される。例えば、プラズマ処理チャンバ10と、上部アセンブリ20と、電極板24とは、接地電位に電気的に接続されることができる。
プラズマ処理チャンバ10は、例えば、処理空間12内の処理プラズマからプラズマ処理チャンバ10を保護するための堆積シールド14と、光学的な覗きポート16とを更に具備することができる。光学的な覗きポート16は、光学窓堆積シールド18の後側に結合された光学窓17と、光学窓17を光学窓堆積シールド18に結合するように構成された光学窓フランジ19とを具備することができる。Oリングのようなシール部材が、光学窓フランジ19と光学窓17との間、光学窓17と光学窓堆積シールド18との間、及び光学窓堆積シールド18とプラズマ処理チャンバ10との間に夫々配設されることができる。光学的な覗きポート16により、例えば、処理空間12内の処理プラズマからの光放出をモニタすることが可能となる。
基板ホルダ30は、例えば、ベローズ52により包囲された垂直移動装置50を更に具備する。ベローズ52は、基板ホルダ30とプラズマ処理チャンバ10とに結合されると共に、プラズマ処理チャンバ10内の減圧雰囲気11から垂直移動装置50をシールする。更に、ベローズシールド54が、例えば、基板ホルダ30に結合され、ベローズ52を処理プラズマから保護するように構成することができる。基板ホルダ30は、例えば、更にフォーカスリング60及びシールドリング62の少なくとも一方に結合されることができる。更に、バッフル板64が、基板ホルダ30の周囲を囲んで延在することができる。
基板35は、例えば、ロボット型の基板搬送システムによって、スロット弁(図示せず)及びチャンバ供給通路(図示せず)を通してプラズマ処理チャンバ10に対して搬送されることができる。基板35は、基板ホルダ30内に配設された基板リフトピン(図示せず)により受け取られ、そこに内蔵された装置によって機械的に移動される。基板35は、基板搬送システムから受け取られると、基板ホルダ30の上面まで下降される。
基板35は、例えば、静電クランプシステムを介して基板ホルダ30に固定されることができる。更に、基板ホルダ30は、例えば、再循環冷媒流を含む冷却システムを具備することができる。冷媒流は、基板ホルダ30から熱を受取り、その熱を熱交換システム(図示せず)へ搬送するか、或いは、加熱のため、熱交換システムから熱を搬送する。更に、例えば、基板35と基板ホルダ30との間のガスギャップ熱伝導を改善するため、バックサイドガスシステムを介して基板35の裏面に対してガスを供給することができる。このようなシステムは、上昇または下降された温度において基板の温度制御が必要とされるときに利用可能となる。別の実施形態において、抵抗加熱素子のような加熱素子や熱電ヒータ/クーラを含むことができる。
図1に示される実施形態において、基板ホルダ30は、電極を有することができ、その電極を通ってRF電力が処理空間12内の処理プラズマに結合される。例えば、基板ホルダ30は、RF発生器(図示せず)からインピーダンス整合ネットワーク(図示せず)を介して基板ホルダ30へ伝送されるRF電力により、RF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成し且つ維持するために電子を加熱するように動作することができる。この構成では、システムは、反応性イオンエッチング(RIE)の反応室として動作することができ、チャンバと上部ガス注入電極とは、接地面として動作する。RFバイアスの典型的な周波数は、1MHz乃至100MHzの範囲であり、望ましくは13.56MHzである。プラズマ処理用のRFシステムは、当業者によく知られている。
代わりに、処理空間12内で形成される処理プラズマは、平行平板、容量結合プラズマ(CCP)ソース、誘導結合プラズマ(ICP)ソース、それらの任意の組み合わせを使用し、DC磁石システムが有る状態または無い状態で形成されることができる。代わりに、処理空間12内の処理プラズマは、電子サイクロトロン共鳴(ECR)を使用して形成されることができる。更に別の実施形態において、処理空間12内の処理プラズマは、ヘリコン波の発射によって形成される。更に別の実施形態において、処理空間12内の処理プラズマは、伝播する表面波から形成される。
図2A(平面図)及び図3A(断面図)に示される本発明の例示された実施形態を参照すると、光学窓堆積シールド18は、堆積シールド14の開口を通して延在するように構成されたプラグ80と、プラグ80に結合されると共に光学窓堆積シールド18を堆積シールド14に取付けるように構成されたフランジ82とを具備する。図3Aに示されるように、プラグ80は、処理空間12内の処理プラズマに面するように構成された前面84と、堆積シールド14の開口70(図1)内の第1の開口面と係合するように構成された周辺面86とを具備する。更に、フランジ82は、プラグ80の周辺面86に結合された第1の面88と、第2の面90と、縁部面92とを具備する。更に、例えば、プラグ80の(主軸に沿った)幅は、1乃至100mmの範囲とすることができる。この幅は、望ましくは10乃至40mmの範囲とすることができ、より望ましくは25mm以上である。更に、例えば、プラグ80の(非主軸に沿った)高さは、1乃至100mmの範囲とすることができる。この高さは、望ましくは10乃至40mmの範囲とすることができ、より望ましくは15mm以上である。
図2A及び図3Aを更に参照すると、光学窓堆積シールド18は、例えば、プラグ80の前面84及びフランジ82の第2の面90に結合されると共に、処理空間12への光及び/または処理空間12からの光の通過を許容するように構成された少なくとも1つの光学貫通孔94を更に含む。
図4は、光学貫通孔94の拡大図である。光学貫通孔94は、プラグ80の前面84に結合された露出入口面96と、露出入口面96及びフランジ82の第2の面90に結合された内部貫通孔面98とを具備する。更に、例えば、少なくとも1つの光学貫通孔94の直径は、0.5乃至20mmの範囲とすることができる。この直径は、望ましくは0.5乃至5mmの範囲とすることができ、より望ましくは0.5mm以上である。更に、例えば、光学貫通孔94の数は、1乃至500の範囲とすることができる。この数は、望ましくは1乃至100の範囲であり、より望ましくは1以上である。
別の実施形態において、光学窓堆積シールド18は、光学貫通孔を具備しない。図2B(平面図)及び3B(断面図)に示される例示された実施形態において、光学窓堆積シールド18は、堆積シールド14の開口に装填されるインサート18’として機能する(即ち、特定のプロセスのために光学的アクセスが必要とされない)。
図2A、図2B及び図3A、図3Bを参照すると、フランジ82は、例えば、複数の締結レセプタ100を更に具備することができる。各締結レセプタ100は、フランジ82の第1の面88及び第2の面90に結合されると共に、光学窓堆積シールド18を堆積シールド14に取付けるために(ボルトのような)締結具(図示せず)を受けるように構成される。締結レセプタ100は、入口領域102、貫通孔領域104、出口貫通孔106、内部締結面108、及び締結凹面109を具備することができる。更に、フランジ82の第1の面88の一部は、堆積シールド14(図1)の係合面と結合するように構成された係合面110を具備することができる。例えば、光学窓堆積シールド18内に形成される締結レセプタ100の数は、0乃至100の範囲とすることができる。締結レセプタ100の数は、望ましくは1乃至8の範囲とすることができ、より望ましくは2以上である。光学窓堆積シールド18を堆積シールド14に結合する点に関する更なる詳細のため、本件出願と同日に出願の同時係属米国特許出願第10/XXX,XXX号明細書(発明の名称「プラズマ処理システムにおける、改良された堆積シールドのための方法及び装置」、代理人番号第226275US6YAが参考文献としてここに組み込まれる。
図5は、プラグ80の周辺面86及びフランジ82の第1の面88と、これらの結合状態とを示す拡大図である。
図2乃至図5を参照すると、光学窓堆積シールド18は、光学窓堆積シールド18の複数の露出面145上に形成された保護バリア150を更に具備する。本発明の1実施形態において、露出面145は、プラグ80の前面84、プラグ80の周辺面86、及び係合面110を除くフランジ82の第1の面88を含むことができる。更に、露出面145は、少なくとも1つの光学貫通孔94の露出入口面96を含むことができる。別の実施形態において、露出面145は、係合面110を含むことができる。
本発明の1実施形態において、保護バリア150は、Alのようなアルミニウム酸化物を含む化合物を具備することができる。本発明の別の実施形態において、保護バリア150は、AlとYとの混合物を具備することができる。本発明の別の実施形態において、保護バリア150は、III族元素(周期律表の第III族)及びランタノイド系元素の少なくとも一方を具備することができる。本発明の別の実施形態において、III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備することができる。本発明の別の実施形態において、ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備することができる。本発明の別の実施形態において、保護バリア150を形成する化合物は、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備することができる。
本発明の1実施形態において、光学窓堆積シールド18上に形成される保護バリア150は、最小の厚さを有することができる。この最小の厚さは、露出面145の少なくとも1つの面内で一定のものとして特定されることができる。別の実施形態において、この最小の厚さは、露出面145の面内で変化されることができる。代わりに、この最小の厚さは、露出面の第1の部分上では一定であり、露出面の第2の部分上では変化されるものであってもよい。例えば、厚さの変化は、湾曲面上、角部上、或いは穴内で発生する可能性がある。例えば、この最小の厚さは、0.5ミクロン乃至500ミクロンの範囲とすることができる。この最小の厚さは、望ましくは5ミクロン乃至200ミクロンの範囲であり、より望ましくは5ミクロン以上である。
図6は、本発明の1実施形態に従って、図1に記載のプラズマ処理システム1の光学窓堆積シールド18を作製する方法を示す。フロー図300は、ステップ310で開始し、このステップで(上述のような)光学窓堆積シールド18を作製する。光学窓堆積シールドの作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する。例えば、光学窓堆積シールド18の要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。光学窓堆積シールド18は、例えば、アルミニウムから作製されることができる。
ステップ320で、光学窓堆積シールド18は、表面陽極酸化層を形成するために陽極酸化される。例えば、光学窓堆積シールド18をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備する。アルミニウムの部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ330で、陽極酸化された光学窓堆積シールド18上の露出面145が特定されると共に、表面陽極酸化層が、標準的な機械加工技術を使用して露出面145から除去される。本発明の1実施形態において、露出面は、プラグの前面、プラグの周辺面、係合面を除くフランジの第1の面、及び少なくとも1つの光学貫通孔の露出入口面を含む。
ステップ340で、(上述のような)保護バリア150が、ステップ330で特定された露出面145上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図7は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システム1の光学窓堆積シールド18を作製する方法を示す。フロー図400は、ステップ410で開始し、このステップで(上述のような)光学窓堆積シールド18を作製する。光学窓堆積シールド18の作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する。例えば、シールド18の要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。光学窓堆積シールド18は、例えば、アルミニウムから作製されることができる。
ステップ420で、光学窓堆積シールド18の露出面145が、そこに表面陽極酸化層が形成されることを防止するためにマスクされる。本発明の1実施形態において、露出面145は、プラグの前面、プラグの周辺面、係合面を除くフランジの第1の面、及び少なくとも1つの光学貫通孔の露出入口面を含む。表面のマスキング及び脱マスキングは、表面コーティング及び表面陽極酸化の当業者によく知られている。
ステップ430で、光学窓堆積シールド18が陽極処理され、マスクされていない残りの表面に表面陽極酸化層が形成される。例えば、光学窓堆積シールド18をアルミニウムから作製するとき、表面陽極酸化層は、酸化アルミニウム(Al)を具備することができる。アルミニウム部品を陽極酸化する方法は、表面陽極酸化の当業者によく知られている。
ステップ440で、露出面145が脱マスクされ、(上述のような)保護バリア150が露出面145上に形成される。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリア150の形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
図8は、本発明の別の実施形態に従って、図1に記載のプラズマ処理システム1の光学窓堆積シールド18を作製する方法を示す。フロー図500は、ステップ510で開始し、このステップで(上述のような)光学窓堆積シールド18を作製する。光学窓堆積シールドの作製は、機械加工、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備することができる。例えば、前述の各要素は、ミルや旋盤等を含む一般的な技術を使用して、機械図面に記載される仕様に従って機械加工されることができる。例えば、ミルや旋盤を使用してコンポーネントを機械加工する技術は、機械加工の当業者によく知られている。光学窓堆積シールド18は、例えば、アルミニウムから作製されることができる。
ステップ520で、(上述のような)保護バリア150が、光学窓堆積シールド18の露出面145上に形成される。本発明の1実施形態において、露出面は、プラグの前面、プラグの周辺面、係合面を除くフランジの第1の面、及び少なくとも1つの光学貫通孔の露出入口面を含む。本発明の別の実施形態において、露出面は、光学窓堆積シールド18上の全ての表面を含むことができる。例えば、イットリアを具備する保護バリアは、セラミックスプレーコーティングの当業者によく知られている(熱)スプレーコーティング技術を使用して形成されることができる。別の実施形態において、保護バリアの形成は、更に熱スプレーコーティングを研磨(または平滑化)するステップを含むことができる。例えば、熱スプレーコーティングの研磨では、スプレーされた表面をサンドペーパーで研磨してもよい。
本発明はまた、陽極酸化の前に露出面の一部をマスクする一方で露出面の他の一部をマスクしないでおくステップと、マスクされない表面を陽極酸化するステップと、マスクされないで陽極酸化された露出面の部分を機械加工するステップと、マスクされていた露出面の部分を脱マスクするステップと、露出面上に保護バリアを形成するステップとを組み合わせた他の方法も含む。
上述のいずれの方法も、露出面ではない、陽極酸化された(または被覆された)表面を機械加工するステップを随意選択的に含むことができる(例えば、機械加工された表面が他の部分と係合する部位に、むき出しの金属接触を得るため)。
本発明のある例示的な実施形態だけについて詳細に前述したが、当業者によれば、本発明の新規な教示及び利点から実質的に逸脱せずに、例示的な実施形態に対して多数の変更が可能であることが認識できるであろう。従って、このような変更の全ては、本発明の技術的範囲内に含まれることを意図している。
本発明の1実施形態に係る、光学窓堆積シールドを具備するプラズマ処理システムの簡単化されたブロック図。 本発明の1実施形態に係る、プラズマ処理システム用の光学窓堆積シールドの平面図。 本発明の1実施形態に係る、プラズマ処理システム用のインサートの平面図。 本発明の1実施形態に係る、プラズマ処理システム用の光学窓堆積シールドの断面図。 本発明の1実施形態に係る、プラズマ処理システム用のインサートの断面図。 本発明の1実施形態に係る、プラズマ処理システム用の光学窓堆積シールドの光学貫通孔の拡大断面図。 本発明の1実施形態に係る、プラズマ処理システム用の光学窓堆積シールドのためのプラグの周辺面及びフランジの第1の面の拡大図。 本発明の1実施形態に従って、プラズマ処理システム用の光学窓堆積シールドを作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の光学窓堆積シールドを作製する方法を示す図。 本発明の別の実施形態に従って、プラズマ処理システム用の光学窓堆積シールドを作製する方法を示す図。

Claims (84)

  1. プラズマ処理システムにおいて堆積シールドを通して処理空間にアクセスするための光学窓堆積シールドであって、
    前記堆積シールドを通して光学的アクセスを提供するように構成されたプラグと、前記プラグは、前面及び周辺面を具備することと、
    前記プラグに結合されると共に、前記光学窓堆積シールドを、前記堆積シールド及び前記プラズマ処理システムのチャンバ壁の少なくとも1つに結合するように構成されたフランジと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    前記光学窓堆積シールドの複数の露出面に結合された保護バリアと、前記複数の露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    を具備する光学窓堆積シールド。
  2. 前記光学窓堆積シールドは、前記フランジの前記第1の面及び前記フランジの前記第2の面に結合されると共に、前記光学窓堆積シールドを、前記堆積シールド及び前記チャンバ壁の少なくとも1つに結合するために、締結具を受けるように構成された複数の締結レセプタを具備する請求項1に記載の光学窓堆積シールド。
  3. 前記複数の締結レセプタの夫々は、入口領域、貫通孔領域、出口貫通孔、内部締結面、及び締結凹面を具備する請求項2に記載の光学窓堆積シールド。
  4. 前記光学窓堆積シールドは、前記プラグの前記前面及び前記フランジの前記第2の面に結合されると共に、前記光学窓堆積シールドを通して光を結合するように構成された少なくとも1つの光学貫通孔を更に具備する請求項1に記載の光学窓堆積シールド。
  5. 前記少なくとも1つの光学貫通孔の夫々は、露出入口面及び内部貫通孔面を具備する請求項4に記載の光学窓堆積シールド。
  6. 前記複数の露出面は、前記少なくとも1つの光学貫通孔の前記露出入口面を更に含む請求項5に記載の光学窓堆積シールド。
  7. 前記第2の面は、陽極酸化層を具備する請求項1に記載の光学窓堆積シールド。
  8. 前記縁部面は、陽極酸化層を具備する請求項1に記載の光学窓堆積シールド。
  9. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項1に記載の光学窓堆積シールド。
  10. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項9に記載の光学窓堆積シールド。
  11. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項9に記載の光学窓堆積シールド。
  12. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項1に記載の光学窓堆積シールド。
  13. 前記保護バリアは、前記複数の露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項1に記載の光学窓堆積シールド。
  14. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項1に記載の光学窓堆積シールド。
  15. 前記複数の露出面は、前記係合面を更に含む請求項1に記載の光学窓堆積シールド。
  16. 前記光学窓堆積シールドは、金属を具備する請求項1に記載の光学窓堆積シールド。
  17. 前記金属は、アルミニウムを具備する請求項16に記載の光学窓堆積シールド。
  18. 前記光学窓堆積シールドは、長方形の形状を具備する請求項1に記載の光学窓堆積シールド。
  19. 前記係合面は、金属表面を具備する請求項1に記載の光学窓堆積シールド。
  20. プラズマ処理システムの堆積シールド用の光学窓堆積シールドを作製する方法であって、
    光学窓堆積シールドを作製するステップと、前記光学窓堆積シールドは、プラグ及びフランジを具備することと、前記プラグは、前記堆積シールドを通して光学的アクセスを提供するように構成されることと、前記プラグは、前面及び周辺面を具備することと、前記フランジは、前記プラグに結合されると共に、前記光学窓堆積シールドを、前記堆積シールド及び前記プラズマ処理システムのチャンバ壁の少なくとも1つに結合するように構成されることと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    露出面上に保護バリアを形成するステップと、前記露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    を具備する方法。
  21. 前記光学窓堆積シールド上に表面陽極酸化層を形成するために前記光学窓堆積シールドを陽極酸化するステップと、
    前記露出面上の前記表面陽極酸化層を除去するステップと、
    を更に具備する請求項20に記載の方法。
  22. 前記除去するステップは、機械加工、平滑化、研磨、及び研削の少なくとも1つを具備する請求項21に記載の方法。
  23. 表面陽極酸化層の形成を阻止するために前記光学窓堆積シールドの前記露出面をマスクするステップと、
    前記光学窓堆積シールドのマスクされてない表面上に表面陽極酸化層を形成するために前記光学窓堆積シールドを陽極酸化するステップと、
    前記露出面を脱マスクするステップと、
    を更に具備する請求項20に記載の方法。
  24. 前記作製するステップは、機械加工、コーティング、マスキング、脱マスキング、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項20に記載の方法。
  25. 前記形成するステップは、スプレー、加熱、及び冷却の少なくとも1つを具備する請求項20に記載の方法。
  26. 前記保護バリアを平滑化するステップを更に具備する請求項20に記載の方法。
  27. 前記光学窓堆積シールドは、前記フランジの前記第1の面及び前記フランジの前記第2の面に結合されると共に、前記光学窓堆積シールドを、前記堆積シールド及び前記チャンバ壁の少なくとも1つに結合するために、締結具を受けるように構成された複数の締結レセプタを具備する請求項20に記載の方法。
  28. 前記複数の締結レセプタの夫々は、入口領域、貫通孔領域、出口貫通孔、内部締結面、及び締結凹面を具備する請求項27に記載の方法。
  29. 前記複数の露出面は、前記係合面を更に含む請求項20に記載の方法。
  30. 前記光学窓堆積シールドは、金属を具備する請求項20に記載の方法。
  31. 前記金属は、アルミニウムを具備する請求項30に記載の方法。
  32. 前記光学窓堆積シールドは、長方形の形状を具備する請求項20に記載の方法。
  33. 前記第2の面は、陽極酸化層を具備する請求項20に記載の方法。
  34. 前記縁部面は、陽極酸化層を具備する請求項20に記載の方法。
  35. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項20に記載の方法。
  36. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項35に記載の方法。
  37. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項35に記載の方法。
  38. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項20に記載の方法。
  39. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項20に記載の方法。
  40. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項20に記載の方法。
  41. プラズマ処理システムの堆積シールド用のインサートであって、
    前記堆積シールドの開口に挿入されるように構成されたプラグと、前記プラグは、前面及び周辺面を具備することと、
    前記プラグに結合されると共に、前記インサートを、前記堆積シールド及び前記プラズマ処理システムのチャンバ壁の少なくとも1つに結合するように構成されたフランジと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    前記インサートの複数の露出面に結合された保護バリアと、前記複数の露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    を具備するインサート。
  42. 前記インサートは、前記フランジの前記第1の面及び前記フランジの前記第2の面に結合されると共に、前記インサートを、前記堆積シールド及び前記チャンバ壁の少なくとも1つに結合するために、締結具を受けるように構成された複数の締結レセプタを具備する請求項41に記載のインサート。
  43. 前記複数の締結レセプタの夫々は、入口領域、貫通孔領域、出口貫通孔、内部締結面、及び締結凹面を具備する請求項42に記載のインサート。
  44. 前記複数の露出面は、前記係合面を更に含む請求項41に記載のインサート。
  45. 前記インサートは、金属を具備する請求項41に記載のインサート。
  46. 前記金属は、アルミニウムを具備する請求項45に記載のインサート。
  47. 前記インサートは、長方形の形状を具備する請求項41に記載のインサート。
  48. 前記保護バリアは、Alを具備する請求項41に記載のインサート。
  49. 前記保護バリアは、AlとYとの混合物を具備する請求項41に記載のインサート。
  50. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項41に記載のインサート。
  51. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項50に記載のインサート。
  52. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項50に記載のインサート。
  53. 前記保護バリアは、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項41に記載のインサート。
  54. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項41に記載のインサート。
  55. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項41に記載のインサート。
  56. 前記複数の露出面は、前記内部締結面を更に含む請求項41に記載のインサート。
  57. プラズマ処理システムの堆積シールド用のインサートを作製する方法であって、
    インサートを作製するステップと、前記インサートは、プラグ及びフランジを具備することと、前記プラグは、前記堆積シールドの開口に挿入されるように構成されることと、前記プラグは、前面及び周辺面を具備することと、前記フランジは、前記プラグに結合されると共に、前記インサートを、前記堆積シールド及び前記プラズマ処理システムのチャンバ壁の少なくとも1つに結合するように構成されることと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    露出面上に保護バリアを形成するステップと、前記露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    を具備する方法。
  58. 前記インサート上に表面陽極酸化層を形成するために前記インサートを陽極酸化するステップと、
    前記露出面上の前記表面陽極酸化層を除去するステップと、
    を更に具備する請求項57に記載の方法。
  59. 前記除去するステップは、機械加工、平滑化、研磨、及び研削の少なくとも1つを具備する請求項58に記載の方法。
  60. 表面陽極酸化層の形成を阻止するために前記インサートの前記露出面をマスクするステップと、
    前記インサートのマスクされてない表面上に表面陽極酸化層を形成するために前記インサートを陽極酸化するステップと、
    前記露出面を脱マスクするステップと、
    を更に具備する請求項57に記載の方法。
  61. 前記作製するステップは、機械加工、コーティング、マスキング、脱マスキング、鋳造、研磨、鍛造、及び研削の少なくとも1つを具備する請求項57に記載の方法。
  62. 前記形成するステップは、スプレー、加熱、及び冷却の少なくとも1つを具備する請求項57に記載の方法。
  63. 前記保護バリアを平滑化するステップを更に具備する請求項57に記載の方法。
  64. 前記インサートは、前記フランジの前記第1の面及び前記フランジの前記第2の面に結合されると共に、前記インサートを、前記堆積シールド及び前記チャンバ壁の少なくとも1つに結合するために、締結具を受けるように構成された複数の締結レセプタを具備する請求項57に記載の方法。
  65. 前記複数の締結レセプタの夫々は、入口領域、貫通孔領域、出口貫通孔、内部締結面、及び締結凹面を具備する請求項64に記載の方法。
  66. 前記複数の露出面は、前記係合面を更に含む請求項57に記載の方法。
  67. 前記インサートは、金属を具備する請求項57に記載の方法。
  68. 前記金属は、アルミニウムを具備する請求項67に記載の方法。
  69. 前記インサートは、長方形の形状を具備する請求項57に記載の方法。
  70. 前記保護バリアは、Alを具備する請求項57に記載の方法。
  71. 前記保護バリアは、Al及びYを具備する請求項57に記載の方法。
  72. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項57に記載の方法。
  73. 前記III族元素は、イットリウム、スカンジウム、及びランタンの少なくとも1つを具備する請求項72に記載の方法。
  74. 前記ランタノイド系元素は、セリウム、ジスプロシウム、及びユーロピウムの少なくとも1つを具備する請求項72に記載の方法。
  75. 前記保護バリアは、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項57に記載の方法。
  76. 前記保護バリアは、前記露出面の少なくとも1つの面内で一定である最小の厚さを具備する請求項57に記載の方法。
  77. 前記保護バリアは、0.5ミクロンから500ミクロンの範囲で変化する厚さを具備する請求項57に記載の方法。
  78. プラズマ処理システムにおいて堆積シールドを通して処理空間にアクセスするための光学窓堆積シールドを作製する方法であって、
    光学窓堆積シールドを作製するステップと、前記光学窓堆積シールドは、前面及び周辺面を具備するプラグと、前記プラグに結合されたフランジとを具備することと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    前記光学窓堆積シールド上に表面陽極酸化層を形成するために前記光学窓堆積シールドを陽極酸化するステップと、
    前記表面陽極酸化層を除去するために前記光学窓堆積シールド上の露出面を機械加工するステップと、前記露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  79. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項78に記載の方法。
  80. 前記保護バリアは、イットリア(Y)、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項78に記載の方法。
  81. プラズマ処理システムにおいて堆積シールドを通して処理空間にアクセスするための改良された光学窓堆積シールドを作製する方法であって、
    光学窓堆積シールドを作製するステップと、前記光学窓堆積シールドは、前面及び周辺面を具備するプラグと、前記プラグに結合されたフランジとを具備することと、前記フランジは、第1の面、第2の面、及び縁部面を具備し、前記第1の面の一部は、係合面を具備することと、
    表面陽極酸化層の形成を阻止するために前記光学窓堆積シールド上の露出面をマスクするステップと、前記露出面は、前記プラグの前記前面、前記プラグの前記周辺面、及び前記係合面を除く前記フランジの前記第1の面を含むことと、
    前記光学窓堆積シールド上に表面陽極酸化層を形成するために前記光学窓堆積シールドを陽極酸化するステップと、
    前記露出面を脱マスクするステップと、
    前記露出面上に保護バリアを形成するステップと、
    を具備する方法。
  82. 前記保護バリアは、III族元素及びランタノイド系元素の少なくとも1つを含む化合物を具備する請求項81に記載の方法。
  83. 前記保護バリアは、Y、Sc、Sc、YF、La、CeO、Eu、及びDyOの少なくとも1つを具備する請求項81に記載の方法。
  84. むき出しの係合面を形成するために少なくとも1つの非露出面を機械加工するステップを更に具備する請求項78に記載の方法。
JP2004539389A 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された光学窓堆積シールドのための装置 Expired - Lifetime JP4585316B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,352 US6798519B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved optical window deposition shield in a plasma processing system
PCT/IB2003/004957 WO2004030014A2 (en) 2002-09-30 2003-09-29 Optical window deposition shield in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2006501648A true JP2006501648A (ja) 2006-01-12
JP4585316B2 JP4585316B2 (ja) 2010-11-24

Family

ID=32029489

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539389A Expired - Lifetime JP4585316B2 (ja) 2002-09-30 2003-09-29 プラズマ処理システムにおける改良された光学窓堆積シールドのための装置

Country Status (6)

Country Link
US (3) US6798519B2 (ja)
JP (1) JP4585316B2 (ja)
KR (1) KR100732260B1 (ja)
CN (1) CN100367446C (ja)
AU (1) AU2003274589A1 (ja)
WO (1) WO2004030014A2 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US20040069223A1 (en) * 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7241397B2 (en) * 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
KR100790393B1 (ko) * 2004-11-26 2008-01-02 삼성전자주식회사 플라즈마 공정장비
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7838793B2 (en) * 2006-07-21 2010-11-23 Sub-One Technology, Inc. System and method for treating surfaces of components
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20100193368A1 (en) * 2009-02-05 2010-08-05 Chia-Wei Hsu Method of forming multicolor aluminum alloy
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US20200365375A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Stray plasma prevention apparatus for substrate process chamber
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
US11880018B2 (en) * 2021-03-12 2024-01-23 Raytheon Company Optical window with abrasion tolerance
CN115621108A (zh) * 2021-07-16 2023-01-17 长鑫存储技术有限公司 半导体制造设备及半导体制造设备腔室沉积物清除方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0573057A1 (en) * 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
US5851343A (en) * 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
JP2000077395A (ja) * 1998-08-31 2000-03-14 Tokyo Electron Ltd プラズマ処理装置
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP2002521834A (ja) * 1998-07-29 2002-07-16 アプライド マテリアルズ インコーポレイテッド 基板を処理する方法及び装置及びそれらのためのセラミック組成物

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
DE4109979C2 (de) 1990-03-28 2000-03-30 Nisshin Flour Milling Co Verfahren zur Herstellung beschichteter Teilchen aus anorganischen oder metallischen Materialien
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
DE50100469D1 (de) * 2000-03-15 2003-09-11 Paul-Eric Preising Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
ATE404982T1 (de) 2001-02-07 2008-08-15 Hitachi Metals Ltd Verfahren zur herstellung einer metalllegierung für einen seltenerdmagneten auf eisenbasis
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
US6724140B2 (en) 2001-09-21 2004-04-20 Fuji Photo Film Co., Ltd. Organic light-emitting device
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
JP3949504B2 (ja) * 2002-04-25 2007-07-25 英夫 吉田 母材表面の活性化処理方法および活性化処理装置
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2007013184A1 (ja) 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0573057A1 (en) * 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
JPH06224137A (ja) * 1992-06-05 1994-08-12 Applied Materials Inc 腐食性化学物質と接触する石英窓表面に化学的耐食性の酸化アルミニウムの保護被膜を備えた集積回路構造プロセス装置
US5851343A (en) * 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
JP2002521834A (ja) * 1998-07-29 2002-07-16 アプライド マテリアルズ インコーポレイテッド 基板を処理する方法及び装置及びそれらのためのセラミック組成物
JP2000077395A (ja) * 1998-08-31 2000-03-14 Tokyo Electron Ltd プラズマ処理装置
US20010003271A1 (en) * 1999-12-10 2001-06-14 Tokyo Electron Limited Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film

Also Published As

Publication number Publication date
WO2004030014A2 (en) 2004-04-08
KR100732260B1 (ko) 2007-06-25
CN100367446C (zh) 2008-02-06
AU2003274589A1 (en) 2004-04-19
US7163585B2 (en) 2007-01-16
KR20050067406A (ko) 2005-07-01
WO2004030014A3 (en) 2004-12-02
US20040060516A1 (en) 2004-04-01
CN1682340A (zh) 2005-10-12
US20070102287A1 (en) 2007-05-10
US6798519B2 (en) 2004-09-28
JP4585316B2 (ja) 2010-11-24
AU2003274589A8 (en) 2004-04-19
US7811428B2 (en) 2010-10-12
US20040173155A1 (en) 2004-09-09

Similar Documents

Publication Publication Date Title
JP4585316B2 (ja) プラズマ処理システムにおける改良された光学窓堆積シールドのための装置
JP5165039B2 (ja) プラズマ処理システムにおける改良された堆積シールド
JP4627660B2 (ja) プラズマ処理システムにおける改良されたバッフル板のための装置
JP4627659B2 (ja) プラズマ処理システムにおける改良されたベローズシールドのための装置
JP4589115B2 (ja) プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100716

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100903

R150 Certificate of patent or registration of utility model

Ref document number: 4585316

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130910

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250