JP2006500781A5 - - Google Patents

Download PDF

Info

Publication number
JP2006500781A5
JP2006500781A5 JP2004539866A JP2004539866A JP2006500781A5 JP 2006500781 A5 JP2006500781 A5 JP 2006500781A5 JP 2004539866 A JP2004539866 A JP 2004539866A JP 2004539866 A JP2004539866 A JP 2004539866A JP 2006500781 A5 JP2006500781 A5 JP 2006500781A5
Authority
JP
Japan
Prior art keywords
etching
depth
rate
etch
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004539866A
Other languages
English (en)
Japanese (ja)
Other versions
JP2006500781A (ja
Filing date
Publication date
Priority claimed from US10/256,251 external-priority patent/US6939811B2/en
Application filed filed Critical
Publication of JP2006500781A publication Critical patent/JP2006500781A/ja
Publication of JP2006500781A5 publication Critical patent/JP2006500781A5/ja
Pending legal-status Critical Current

Links

JP2004539866A 2002-09-25 2003-09-18 エッチング深度を制御する装置及び方法 Pending JP2006500781A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/256,251 US6939811B2 (en) 2002-09-25 2002-09-25 Apparatus and method for controlling etch depth
PCT/US2003/030117 WO2004030050A2 (en) 2002-09-25 2003-09-18 Apparatus and method for controlling etch depth

Publications (2)

Publication Number Publication Date
JP2006500781A JP2006500781A (ja) 2006-01-05
JP2006500781A5 true JP2006500781A5 (enExample) 2006-10-26

Family

ID=32041765

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004539866A Pending JP2006500781A (ja) 2002-09-25 2003-09-18 エッチング深度を制御する装置及び方法

Country Status (10)

Country Link
US (1) US6939811B2 (enExample)
EP (1) EP1543547B1 (enExample)
JP (1) JP2006500781A (enExample)
KR (1) KR101116589B1 (enExample)
CN (1) CN100449706C (enExample)
AT (1) ATE499701T1 (enExample)
AU (1) AU2003275221A1 (enExample)
DE (1) DE60336150D1 (enExample)
TW (1) TWI324356B (enExample)
WO (1) WO2004030050A2 (enExample)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
CN100517596C (zh) * 2004-06-29 2009-07-22 优利讯美国有限公司 减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置
US7393459B2 (en) * 2004-08-06 2008-07-01 Applied Materials, Inc. Method for automatic determination of substrates states in plasma processing chambers
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
JP2006186222A (ja) * 2004-12-28 2006-07-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
KR100707803B1 (ko) * 2005-10-28 2007-04-17 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조방법
JP2007184356A (ja) * 2006-01-05 2007-07-19 Oki Electric Ind Co Ltd エッチング方法
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US20080078948A1 (en) * 2006-10-03 2008-04-03 Tokyo Electron Limited Processing termination detection method and apparatus
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7521332B2 (en) * 2007-03-23 2009-04-21 Alpha & Omega Semiconductor, Ltd Resistance-based etch depth determination for SGT technology
CN100565839C (zh) * 2007-05-31 2009-12-02 联华电子股份有限公司 不同厚度的栅氧化层的制造方法
US7851370B2 (en) * 2007-09-25 2010-12-14 United Microelectronics Corp. Patterning method
US8304316B2 (en) * 2007-12-20 2012-11-06 Cambridge Semiconductor Limited Semiconductor device and method of forming a semiconductor device
JP5308080B2 (ja) * 2008-06-18 2013-10-09 Sppテクノロジーズ株式会社 シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
CN102044431A (zh) * 2009-10-20 2011-05-04 中芯国际集成电路制造(上海)有限公司 刻蚀方法和刻蚀系统
EP2534672B1 (en) 2010-02-09 2016-06-01 Energetiq Technology Inc. Laser-driven light source
US12226301B2 (en) * 2011-05-09 2025-02-18 Vactronix Scientific, Llc Method of making topographical features and patterns on a surface of a medical device
US9050394B2 (en) * 2011-05-09 2015-06-09 Palmaz Scientific, Inc. Method for making topographical features on a surface of a medical device
JP5792613B2 (ja) * 2011-12-28 2015-10-14 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5724945B2 (ja) * 2012-05-18 2015-05-27 株式会社デンソー 炭化珪素半導体装置の製造方法
US9275916B2 (en) * 2013-05-03 2016-03-01 Infineon Technologies Ag Removable indicator structure in electronic chips of a common substrate for process adjustment
CN104377141B (zh) * 2013-08-16 2017-05-03 无锡华润华晶微电子有限公司 检测晶片深沟槽结构的实际关键尺寸及是否过刻蚀的方法
US9484214B2 (en) 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US11107738B2 (en) 2016-11-16 2021-08-31 Nova Ltd. Layer detection for high aspect ratio etch control
CN110574397B (zh) * 2018-12-29 2021-04-27 共达电声股份有限公司 Mems声音传感器、mems麦克风及电子设备
CN111341656A (zh) * 2020-03-19 2020-06-26 常州星海电子股份有限公司 光阻玻璃芯片全自动腐蚀工艺
US11587781B2 (en) 2021-05-24 2023-02-21 Hamamatsu Photonics K.K. Laser-driven light source with electrodeless ignition
US12165856B2 (en) 2022-02-21 2024-12-10 Hamamatsu Photonics K.K. Inductively coupled plasma light source
US12144072B2 (en) 2022-03-29 2024-11-12 Hamamatsu Photonics K.K. All-optical laser-driven light source with electrodeless ignition
US12156322B2 (en) 2022-12-08 2024-11-26 Hamamatsu Photonics K.K. Inductively coupled plasma light source with switched power supply

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US52113A (en) * 1866-01-16 Improvement in feed apparatus for steam-generators
JPS62259444A (ja) 1986-05-06 1987-11-11 Hitachi Ltd 表面処理方法
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JPH08316200A (ja) * 1995-05-18 1996-11-29 Toshiba Corp ドライエッチング方法及びドライエッチング装置
JPH09129619A (ja) * 1995-08-31 1997-05-16 Toshiba Corp エッチング深さ測定装置
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5807789A (en) 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US6127237A (en) * 1998-03-04 2000-10-03 Kabushiki Kaisha Toshiba Etching end point detecting method based on junction current measurement and etching apparatus
JPH11318398A (ja) * 1998-03-10 1999-11-24 Kagisho:Kk 超微粉末海苔及びその応用
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
CN1064177C (zh) * 1998-05-13 2001-04-04 中国航天工业总公司第二研究院二十三所 变深度刻蚀方法及其装置
JP2000329525A (ja) * 1999-05-18 2000-11-30 Toshiba Corp 段差測定方法並びにエッチング深さ測定方法及びそれらの装置
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6400458B1 (en) 1999-09-30 2002-06-04 Lam Research Corporation Interferometric method for endpointing plasma etch processes
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP2004526293A (ja) * 2000-09-21 2004-08-26 アプライド マテリアルズ インコーポレイテッド チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
US20030000922A1 (en) * 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image

Similar Documents

Publication Publication Date Title
JP2006500781A5 (enExample)
KR100218763B1 (ko) 실리콘계 피에칭재의 에칭방법
WO2002065511A3 (en) Method and apparatus for controlling etch selectivity
WO2008078637A1 (ja) パターン形成方法、および半導体装置の製造方法
CN105584986B (zh) 一种硅深孔刻蚀方法
WO2004030050A3 (en) Apparatus and method for controlling etch depth
WO2009057764A1 (ja) エッチング方法およびそれを用いた光/電子デバイスの製造方法
WO2017172533A1 (en) Process and apparatus for processing a nitride structure without silica deposition
US10515820B2 (en) Process and apparatus for processing a nitride structure without silica deposition
WO2003005430A3 (en) Method and apparatus for controlling a plating process
CN103715065B (zh) 一种平缓光滑侧壁形貌的SiC刻蚀方法
WO2001091177A3 (en) Method and apparatus for controlling deposition parameters based on polysilicon grain size feedback
WO2003038889A3 (en) Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
CN102054738B (zh) 浅沟槽隔离台阶高度的控制方法
CN103854972A (zh) 改善晶圆表面翘曲的方法
KR102049125B1 (ko) 습식 에칭을 위한 방법, 장치 및 조성물
SG153733A1 (en) Thin film etching method and semiconductor device fabrication using same
JP2008072032A (ja) 半導体装置の製造方法
JP2006303403A (ja) フラッシュメモリ素子の製造方法
CN104241191B (zh) 一种金属线成膜工艺方法
US10840104B2 (en) Controlled etch of nitride features
CN100468698C (zh) 半导体器件的制造方法
CN104752152B (zh) 一种沟槽刻蚀方法及刻蚀装置
JP2004228605A (ja) エッチング方法
CN104465347A (zh) 多晶硅表面处理方法及系统