JP2005523575A - Mram加工におけるトンネル接合部キャップ層、トンネル接合部ハードマスク、およびトンネル接合部スタック種膜の材質の組み合わせ - Google Patents

Mram加工におけるトンネル接合部キャップ層、トンネル接合部ハードマスク、およびトンネル接合部スタック種膜の材質の組み合わせ Download PDF

Info

Publication number
JP2005523575A
JP2005523575A JP2003585097A JP2003585097A JP2005523575A JP 2005523575 A JP2005523575 A JP 2005523575A JP 2003585097 A JP2003585097 A JP 2003585097A JP 2003585097 A JP2003585097 A JP 2003585097A JP 2005523575 A JP2005523575 A JP 2005523575A
Authority
JP
Japan
Prior art keywords
hard mask
depositing
layer
cap layer
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003585097A
Other languages
English (en)
Inventor
ロイシュナー,ライナー
ストジャコヴィック,ジョージ
ニン,シャン,ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2005523575A publication Critical patent/JP2005523575A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y25/00Nanomagnetism, e.g. magnetoimpedance, anisotropic magnetoresistance, giant magnetoresistance or tunneling magnetoresistance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/14Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates
    • H01F41/30Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE]
    • H01F41/302Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices
    • H01F41/308Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices lift-off processes, e.g. ion milling, for trimming or patterning
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Semiconductor Memories (AREA)

Abstract

抵抗記憶装置(110)およびその製造方法は、磁気スタック(114)上に配置された、キャップ層(140)およびハードマスク層(142)を有し、上記キャップ層(140)またはハードマスク層(142)はWNを含んでいる。上記磁気スタック(114)の真下に配置された種膜(136)もWNを含んでいてもよい。上記材質WNを利用することにより、上記製造工程において、エッチング加工の選択性を向上できる。

Description

本発明は、概して半導体装置の製造、とりわけ磁気ランダムアクセスメモリ(MRAM)装置の製造に関するものである。
半導体は、例えばラジオ,テレビ,携帯電話機(cell phones),パソコン(personal computing device)などの電子機器の集積回路に広く使われている。また、半導体装置の一種として、半導体記憶装置をあげられる。また、半導体記憶装置には、ダイナミックランダムアクセスメモリ(DRAM)やフラッシュメモリなどの、電荷を利用して情報を記憶するものがある。
スピン電子技術は、半導体技術と磁気学とを組み合わせたものであり、記憶装置に関してごく最近に開発された技術である。スピン電子技術では、電荷ではなく電子のスピンによって、「1」または「0」の状態を表す。MRAM装置は、このようなスピン電子装置の一例である。このMRAM装置は、互いに異なる方向に延びる、別々の金属層に配置された導電線を備えている。そして、MRAM装置は、これらの導電線によって、磁気スタックを挟んでいる構成である。なお、導電線(例えばワード線とビット線)が交差する場所は、交差点と呼ばれる。また、一方の導電線を流れる電流は、その周囲に磁場を生成し、金属線(wire)または導電線に沿った特定の方向に磁極性を向ける。さらに、他方の導電線を流れる電流も磁場を誘発し、磁極性を部分的に転換することもある。そして、「0」または「1」として表示されるデジタル情報は、磁気モーメントの配列として格納されるようになっている。このような磁気素子の抵抗は、モーメントの配列によって決まる。従って、磁気素子の抵抗状態を検出することにより、格納状態を素子から読み出せる。また、記憶セルアレイは、通常、行および列を有するマトリックス構造に導電線および交差点を配置することによって、構成される。
従来の半導体記憶装置(例えば、DRAM装置)と比較して、MRAM装置の長所は、不揮発性である点である。例えば、MRAM装置を使用しているパソコン(PC)の「起動時間」は、DRAM装置をもつ従来のPCほど長くない。さらに、MRAM装置は、余分なエネルギー消費を必要とすることなく、格納しているデータを「覚えておく」機能を有している。MRAM装置には、起動プロセスを省けるとともに、現在のメモリ技術と比べて、より多くのデータを格納し、より迅速にデータにアクセスし、使用電力をより少なくできる可能性がある。
なお、MRAM装置は、従来の記憶装置とは動作が異なっているので、その設計および製造については、解決すべき課題がある。
〔発明の概要〕
本発明のいくつかの好ましい実施形態では、抵抗記憶素子に対するエッチング加工を最適化する、材質の組み合わせを提供することにより、複数の技術的利点が得られる。好ましい上記一実施形態では、例えば窒化タングステン(WN)といった材料を、MRAM装置のトンネル接合部ハードマスク、またはキャップ層(cap layer)、および/またはトンネル接合部スタック種膜(tunnel junction stack seed layer)に対して使用する。
ある実施形態では、抵抗(resistive)半導体記憶装置の製造方法が、基材(workpiece)を準備するステップと、上記基材の上に第1層間誘電体(inter-level dielectric)を形成するステップと、上記第1層間誘電体の中に複数の第1導電線を配置するステップとを含む。この方法は、上記第1導電線上に種膜を形成するステップと、上記種膜上に第1磁気層を形成するステップと、上記第1磁気層上にトンネル障壁層を形成するステップとをさらに含む。上記トンネル障壁層上に第2磁気層を堆積し、上記第2磁気層上にキャップ層を堆積し、上記キャップ層上にハードマスク材質(hard mask material)を堆積する。この方法は、ハードマスクを形成するために上記ハードマスク材質をパターン化(patterning)するステップと、上記パターン化されたハードマスクを、上記キャップ層、第2磁気層およびトンネル障壁層をパターン化して複数のトンネル接合部を形成するために、用いるステップとを含む。キャップ層を堆積するステップ、ハードマスク材質を堆積するステップ、または種膜を堆積するステップの少なくとも1つが、WNを堆積するステップを含む。
他の実施形態では、抵抗半導体記憶装置が、複数の第1導電線と、上記第1導電線の少なくとも一部分の上に配置された種膜と、上記種膜上に配置された第1磁気スタックとを備えている。トンネル障壁が、上記第1磁気スタック上に配置されており、第2磁気スタックが、上記トンネル障壁上に配置されており、キャップ層が、上記第2磁気スタック上に配置されている。ここで、上記種膜および上記キャップ層の少なくとも1つはWNを含んでいる。
本発明における実施形態の有利な点は、トンネル接合部ハードマスク開口部を反応性イオンエッチング(RIE)するプロセスと、次の、第1導電線の金属を侵食しないように種膜上に任意のエッチング停止部を設けて行うトンネル接合部のエッチングとを行うための、プロセス窓(process window)を改善できる点である。
(図面の簡単な説明)
上記した本発明の特徴点をより明確にするために、以下に、添付図を用いた説明を行う。
図1は、記憶セルにアクセスするために各記憶セルの下にワード線、および上にビット線が配置されている、アレイ状に配置された磁気スタック記憶セルを有する従来技術のMRAM装置の斜視図である。
図2は、図1に示すMRAM装置の平面図である。
図3は、MRAM装置の断面図である。
図4〜図6は、本発明の実施形態に基づいたさまざまな製造段階のMRAM装置の断面図である。
図7は、ハードマスクを除去した本発明の実施形態の断面図である。
図8は、第1導電線上に酸化物層のないMRAM構造における、本発明の実施形態の交差点の断面図である。
異なる図面における同じ番号と符号とは、特記しない限り同じ部材を示す。これらの図は、好ましい実施形態に関する特徴を明確に示すように描かれており、必ずしも縮尺通りではない。
〔好ましい実施形態の詳細な説明〕
従来技術のMRAM加工手順(process flows)および材質について説明し、続いて、本発明の好ましい実施形態およびそれぞれの有利な点について説明する。
MRAM装置を製造する場合、通常、集積回路(IC)の製造時に、磁気金属スタックがバック・エンド・オブ・ライン(back-end-of-line;BEOL)に埋め込まれる。磁気スタックは、通常、複数の異なる金属層を含んでおり、また、これらの金属層間には、誘電体の薄層が挟まっている。
磁気スタックの合計の厚みは、例えば数十ナノメートルである。交差点MRAM構造では、磁気スタックは、通常、2つの金属配線面の交差部(例えば、ある角度をなして配され、異なる方向へ延びている金属2(M2)層と金属3(M3)層との交差部)に配置されている。磁気スタックの上部および底部は、通常、M(n)およびM(n+1)配線層導電線とそれぞれ接触している。
導電線12および22を備える、従来技術のMRAM装置10を図1に示す。導電線12および22は、第1および第2方向へ延び、例えばアルミニウムまたは銅などの導電性材質を含んでいる。第1層間誘電体(ILD)層(図示せず)は、基材(図示せず)の上に堆積されている。金属化層は、通常、導電線12を形成するため、ダマシンプロセスを用いて層間誘電体層中に形成されている。磁気スタック14は、導電線12上に形成されている。
磁気スタック14は、通常、例えば、PtMn,CoFe,Ru,およびNiFeなどの材質でできた複数の層を含む、第1磁気層20を備えている。第1磁気層20は、ハード層または基準層と呼ばれることが多い。第1磁気層20は、第1導電線12上に配置された種膜(seed layer;図示せず)を備えていてもよい。この種膜は、通常、磁気スタック14のエッチング時に第1導電線12の侵食を防ぐために、TaNを含んでいる。
磁気スタック14は、誘電体層18をさらに備えている。この誘電体層18は、例えばAlを含み、第1磁気層20上に堆積されている。この誘電体層18は、多くの場合、トンネル層、トンネル障壁、またはT障壁と呼ばれる。磁気スタック14は、第2磁気層16をさらに備えている。この第2磁気層16は、第1磁気層20に類似した材質を有する多層構造を含み、誘電体層18上に堆積されている。第2磁気層16は、ソフト層または自由層(free layer)などと呼ばれる。これらの第1磁気層20、誘電体層18、および第2磁気層16は、磁気スタック14を形成するようにパターン化されている。
導電線22は、金属化層の内部で、導電線12とは異なる方向、例えば、導電線12に対して垂直な方向に延びている。この導電線22は、磁気スタック14上に形成される。なお、多くの場合、この導電性22は、ダマシンプロセスによって、磁気スタック14および導電線22上に堆積された誘電体層(図示せず)の内部に形成される。
導電線12および22は、メモリアレイ(memory array)10のワード線およびビット線として機能する。磁気スタック14の順序を逆にしてもよい。例えば、絶縁層18の上側にハード層20、下側にソフト層16を配してもよい。また、ワード線12およびビット線22は、磁気スタック14の上側・下側のいずれに配置されていてもよい。FETのようにMRAMを設計するために、例えば、酸化物層(図示せず)を、第1導電線12の間、または第2導電線22の間に配置してもよく、この酸化物層をパターン化してビアホールを形成してもよい。このビアホールは、磁気スタック14を電界効果トランジスタ(FET)と連結する導体充填するために用いられる。
MRAM装置では、磁気スタック14のソフト磁気層または自由層16に情報が格納されている。情報を格納するためには、磁場が必要である。この磁場は、導電線12および22を流れる、ワード線およびビット線の電流によって生成される。読み出しの対象となる特定のセルに電圧を印加し、「1」または「0」の論理状態を表すセルの抵抗値を決定することによって、情報を読み出すこととなる。
図3に、MRAM装置10の断面図を示す。この図は、MRAMの導電性素子の間にあるさまざまな絶縁層を示している。第1導電線12は、第1層間誘電体24(図3には誘電体24を表していないので、破線で示す。)によって相互に絶縁されている。また、第2導電線22は、第2層間誘電体34によって相互に絶縁されている。抵抗記憶素子またはTJ14と、キャップ層28と、ハードマスク30とは、絶縁層またはTJ側壁絶縁層32によって相互に絶縁されている。
MRAM磁気スタック14またはトンネル接合部(TJ)の加工では、金属化層(M)12の間にある層間誘電体24、金属化層M(n+1)22の間にある層間誘電体34、TJ14側壁絶縁層32、TJ14エッチング用ハードマスク30、およびTJスタックキャップ層28に対して、異なる材質を用いる必要がある。ハードマスク30は、導電性であり、TJ14をエッチングする時に良好な安定性を有していることが望ましい。TJスタックキャップ層28は、導電性であり、磁気材質16を酸化から保護し、ハードマスク30を開口反応性イオンエッチング(RIE)するとき、および/または、ハードマスク30を除去反応性イオンエッチング(RIE)するときに、エッチング停止部となることが望ましい。
4つの材質、すなわち、金属化層層間誘電体(ILD)24/34、TJ側壁絶縁体32、ハードマスク材質30、およびキャップ層28は、相互に関連している。なぜなら、適切なプロセス窓を得るために、これらの材質のそれぞれを選択的にエッチングすることがあるからである。すなわち、キャップ層28に対するハードマスク30の材質選択、および、ハードマスク30とTJ絶縁体32とに対するILD24/34の材質選択は、さまざまな材質のエッチング選択性により相互に関連している。
MRAM加工では、通常、SiOを、金属化層ILD24/34として使用する。この場合、TJ絶縁材質32のエッチング選択性は、SiOのエッチング選択性とは異なっているほうがよい。さらに、TJ絶縁材質32と、TJハードマスク材質30との双方は、SiOのRIE(例えば、金属化層M3のエッチング)に対するエッチング選択性が良好な材質を含むことが好ましい。それゆえ、Si34を、TJ絶縁材質32として使用し、TiNまたはTaNを、TJキャップ層28および/またはTJハードマスク30として使用してもよい。TJハードマスク30の厚さは、約150nmであることが好ましい。
しかしながら、TiNまたはTaNを、ハードマスク30の開口RIE(例えば、RIEによるハードマスク30のパターニング)に対して使用する場合、ハードマスク30の開口RIEを、キャップ層28で停止させることが問題となる。加工域(process latitudes)を良好にするため、TJハードマスク30の開口RIEの正確な停止部が備えられていることが望ましい。なぜなら、これにより、TJ14の下側にある金属化線12をエッチングしすぎることなく、TJ14のエッチング加工をどのくらいの長さだけ実施する必要があるか、を正確に決められるからである。
図3に示すように、例えば10nmのTaNを含む薄いTJスタックキャップ層28が、ハードマスク30の下側であって、TJ14の上側に配置されている。この形態では、キャップ層28は、「たとえハードマスク30を除去するRIEに曝された後であっても、TJスタック14の磁気材質を酸化から保護し、ハードマスクを開口RIEするときのエッチング率およびシート抵抗をできるだけ低くする」という特性を有していることが望ましい。
通常、キャップ層28は、TaNを含んでいる。TaNは、1.8オームμmの特定の抵抗を有し、ハードマスク30の開口RIEに対するエッチング選択性が低い。このため、ハードマスク30の開口RIEを行うときには、時間を計るか、あるいは、最終点を検出する必要がある。
磁気スタック14の基準層20の種膜は、通常、TaNを含んでいる。このスタック14を塩素プラズマ中でエッチングする場合、TaNは不利である。なぜなら、TaNが破壊されるリスクと、その下側の(例えばCuを含んでいることもある)導電線12が塩素によって侵食されるリスクとを回避できるような、TaNに対するエッチング停止部はないからである。
本発明の実施形態では、トンネル接合部キャップ層,トンネル接合部ハードマスクおよび他の材質の層(スタック種膜など)に関し、高いエッチング加工選択性を得られるように複数の材質を組み合わせて、技術的利点を得るようになっている。
上記のキャップ層またはハードマスク層は、WNを含んでおり、このWNの利点は、酸化環境に対して安定性があり、ハードマスク開口RIEプロセスに対して優れたエッチング選択性を有している点である。
図4〜図6に、本発明の実施形態に基づいた、異なる製造段階におけるMRAM装置110の断面図を示す。図4に、基材(workpiece)138を備える半導体ウエハーを示す。この基材138は、シリコン、または、例えば絶縁層によって被覆された他の半導体材質を含んでいてもよい。また、基材138は、図示しないフロント・エンド・オブ・ライン(front end of the line;FEOL)に形成された、他の活性素子または回路を備えていてもよい。さらに、基材138は、例えば単結晶シリコン上に酸化シリコンを備えたものであってもよい。また、基材138は、他の導電性層または他の半導体素子(例えば、トランジスタ、ダイオードなど)を備えていてもよい。複合型半導体(例えば、GaAs、InP、またはSiC、またはSi/Ge)をシリコンの代わりに使用してもよい。
また、任意の薄いキャップ層(図示せず)を、基材138上に形成してもよい。このキャップ層は、第1導電線112に対して使用される金属が、基材138へ拡散することを防止するように適合された、保護材質の薄層を備えていることが好ましい。例えば第1導電線112材質に銅が使用されている場合、キャップ層を使用しなければ、銅は上側および下側の誘電体に拡散する傾向がある。銅は酸化しやすいので、第1導電線112が銅を含む場合、第1導電線112の酸化を防止するために、キャップ層が酸化物以外の材質を含んでいることが好ましい。キャップ層は、例えばSiのような窒化物を含んでいてもよい。
キャップ層上には、第1絶縁層124(図4では明示せず)が堆積されている。第1絶縁層124は、層間誘電体(ILD)層(例えば、ウエハー第1層間誘電体)を備えていることが好ましい。第1絶縁層124は、酸化シリコン(SiO)を含むことが好ましいが、他の誘電体材質(例えば低誘電定数材質)を含んでいてもよい。本発明の好ましい実施形態では、ILD124が、例えばダウケミカルカンパニー(Dow Chemical Company)社の登録商標であるSILKなどの有機誘電体材料を含んでいることが好ましく、この場合についてさらに説明する。
例えばダマシンプロセスによって第1導電線112を形成するため、第1絶縁層124をパターン化し、エッチングし、導電性材質を充填する。パターン化して充填するプロセスは、シングルダマシンまたはデュアルダマシンプロセスを有していてもよい。また、第1導電線112に充填するときに、例えば、同時にビア(図示せず)に充填してもよい。
第1絶縁層124を、リソグラフィーによってパターン化し、反応性イオンエッチング(RIE)によって、トレンチ(trenches)を形成する。このトレンチに、第1導電線112が形成されることになる。例えば、このトレンチの幅は、0.2μmであり、深さは、0.4〜0.6μmである。
使用する導電性材質に応じて、導電線112に、光学的な下地(図示せず)を備えてもよい。例えば、第1導電線112が、銅を含むならば、トレンチの内部およびトレンチの側壁に沿ったウエハー表面上に堆積された銅下地を備える下地を使用することが好ましい。次に、導電性材質を、ウエハー110上およびトレンチの内部に堆積する。第1導電線112は、最小の間隔を開けた線(例えば、最小形状を有している)またはより大きな間隔を開けた線を備えていてもよい。ウエハー110を化学機械研磨(CMP)し、第1絶縁層124上の最上表面上にある余分な導電性材質112を除去する。
第1導電線112は、例えば物理蒸着(PVD)または化学蒸着(CVD)によって堆積した導電性の材質を有している。この導電性の材質は、金属(例えば、銅)を含んでいることが好ましいが、Al、TiN、Ti、Wといった他の導電性の材質、これらの組み合わせ、または、他の導電性の材質を含んでいてもよい。MRAMにおける導電線112は、銅を含むことが好ましい。このことが望ましいのは、銅の導電性が非常に優れており、銅の高い導電性によって、導電線をより小さくできるからである。銅を含む導電線112を形成するために、ダマシンプロセスを使用することが好ましい。なぜなら、銅に対するエッチングは困難だからである。第1導電線112は、例えばM1またはM2金属化層の一部でもよい。
任意の酸化物層126は、第1導電線112およびILD124上に堆積している。この酸化物層126は、SiOを含むことが好ましいが、例えば他の酸化物を含んでいてもよい。酸化物層126は、通常、FETのようなMRAM構造に使用される。この場合、抵抗記憶素子114から情報を読み出すために使用される基材138の内部では、基礎となっているFETと接続するために、酸化物126が、パターン化され、その内部に導体が形成される。
種膜136は、酸化物層126上に堆積または形成されている。本発明の一実施形態では、種膜136がWNを含んでいることが好ましいが、あるいは、例えばTaNを含んでいてもよい。スタック114を堆積するための種膜136がWNを含んでいる場合、スタック114をエッチングするために塩素系化学剤(a chlorine based chemistry)を使用すると、スタック114のエッチングが種膜136で停止する。種膜136上でエッチングを停止させた後、フッ素系化学剤(a fluorine-based chemistry)中で、WNをエッチングしてもよい。
次に、磁気スタック114を、第1導電線112および第1絶縁層124上に形成する。磁気スタック114は、第1磁気層120を備えていることが好ましい。なお、この第1磁気層120は、例えば、PtMn、CoFe、Ru、NiFe、Ni、Co、および/または、これらをさまざまな割合で組み合わせた材質からなる、複数の層を含んでいる。
磁気スタック114は、第1磁気層120上に堆積された、例えば酸化アルミニウム(Al)を含む誘電体層またはトンネル障壁118を備えている。磁気スタック114は、誘電体層118上に堆積した第2磁気層116をさらに備えている。この第2磁気層116は、第1磁気層120と類似した材料を用いた類似多層構造である。
図4に示すように、キャップ層140を、第2磁気層116上に堆積する。ハードマスク材質142を、キャップ層140上に配置する。ハードマスク材質142とキャップ層140とは、異なる材質を含むことが好ましい。一実施形態では、キャップ層140が、WNを含み、ハードマスク材質142が、TiNまたはTaNを含んでいる。他の実施形態では、ハードマスク材質142が、WNを含み、キャップ層140が、TiNまたはTaNを含んでいる。ハードマスク材質142は、例えば約200〜2000Åの導電性材質を含むことが好ましく、キャップ層140は、約75〜250Åの材質を含むことが好ましい。
反射防止被覆部(ARC)144を、ハードマスク材質142上に堆積することが好ましい。反射防止被覆部は、例えばエネルギー吸収有機ポリマーまたは炭素材質を含んでいてもよい。レジスト146を、反射防止被覆部146上に堆積する。レジスト146をパターン化し、レジスト146の一部を除去してハードマスク材質142の領域を露出させる。
次に、レジスト146をマスクとして使用して、ハードマスク材質142をパターン化し、レジスト146と、反射防止被覆部144の一部と、ハードマスク142とを図5に示すように除去する。次に、ハードマスク142を使用して、第2磁気層116と、スタック114のトンネル障壁118とを、図6に示すようにパターン化する。エッチング加工(例えば反応性イオンエッチング(RIE)またはイオン切削)によって、第2磁気層116とトンネル障壁118とにパターンを転写し、このエッチング加工を、トンネル障壁118のすぐ下で停止してもよい。磁気トンネル接合部(MTJ)114は、例えば長方形または楕円形でもよいが、他の形でもよい。
第1磁気層120または基準層は、第2磁気層116およびトンネル障壁118とは異なるパターンを有している。それゆえ、スタック114の第2磁気層116およびトンネル障壁118に対するパターン化の前または後に、第1磁気層120をパターン化する。
FETのようなMRAM構造では、スタック114のエッチングをどこで停止するかは重要ではない。なぜなら、スタック114と導電線112との間の酸化物層126が、スタック114のエッチングに対する緩衝部として機能するからである。しかしながら、交差点構造では、スタック114と導電線112との間に酸化物層126が残存しない。それゆえ、スタック114のエッチングをどこで停止するかが重要となる。このような交差点セル構造では、図8の336で示すように、第1導電線を、種膜136のすぐ下に配置する。種膜136/336を、塩素系化学剤を用いてエッチングする場合、または、スタック114/314のエッチングが比較的薄い種膜136/336を破壊してしまう場合、銅を含んでいることが好ましい第1導電線112/312が塩素に触れて、銅が侵食されてしまう恐れがある。それゆえ、種膜136/336に対して、および、スタック114/314をエッチングするための種膜上のエッチング停止部として、フッ素系化学剤を用いることが有利である。
MRAM装置110のプロセスは、図6に示すように続く。トンネル接合部絶縁層132を、図に示すように、MTJ14およびハードマスク142上に堆積する。トンネル接合部絶縁層132は、Si34のような窒化物を含むことが好ましいが、例えば酸化物を含んでいてもよい。このトンネル接合部絶縁層132を、例えばCMPプロセスによって平坦化し、第2絶縁層134をウエハー110上に堆積する。第3絶縁層134は、例えばSiOのような層間誘電体を含んでいてもよいし、または、例えばSILKTMのような他の低誘電材質を含んでいてもよい。
ダマシンプロセスを使用して、図6に記載のように、第2絶縁層150内部に、第2導電線122を形成してもよい。第2導電線122は、金属化層の一部でもよく、例えば第1導電線112と同じ材質を含んでいても、異なる材質を含んでいてもよい。
図7では、図4〜図6と同じ部材を同じ番号で示す。この図7は、第2導電線222を形成する前にハードマスク242(図示せず)を除去した、本発明の一実施形態の断面図である。第1導電線312上には酸化物層126/226が配置されていないが、種膜336が直接第1導電線312と接触している、交差点構造を有するMRAMに実施された本発明の実施形態を、図8に示す。
表1に、ハードマスク142/242/342、TJ絶縁層132/232/332、キャップ層140/240/340、および、第1および第2ILD124/224/324に対する好ましい材質の組み合わせを示す。銅を含む種膜136/236/336を、本発明の実施形態に基づき、例えば例1、例2、および例3に示す実施形態の各々と組み合わせて使用しても有利である。
表1に示す材質の組み合わせ例1、例2、および例3の各々が有利であるが、各例によって得られる特徴はさまざまである。例1では、WNを含むキャップ層140/240/340によって、TiNに対する良好なエッチング選択性を実現できる。また、導電率を所望の程度(例えば、TaNに対する1.8Ωμmの導電性と比べて、WNに対する導電性が0.5Ωμm)にできる点が有利である。例2は、基本的に例1を逆にしたものであり、層間誘電体層124/224/324に対する選択性は、例1よりも低い。例3では、WNを含むハードマスク材質が、層間誘電体124/224/324に対して良好な選択性を有している。引例3のSiOは、これに関連する周知の化学機械研磨(CMP)プロセスを有している。また、TiNをキャップ層140/240/340として使用することにより、ハードマスク材質142/242/342に対する選択性が良好となる。さらに、例えばSILKTMのような有機誘電材質は、技術的に一般的なものである。それゆえ、特別なプロセスまたは装置を必要としない。
本発明の一実施形態では、WNが、TJスタックキャップ層140/240/340として使用されている。WNは、そのシート抵抗のわりには酸化環境(例えば、空気、酸素、またはハロゲンを含むプラズマ)に対する安定性がある。WNは、TaNよりも低い0.5Ωμmの特定抵抗を有し、ハードマスク材質142/242/343の開口RIEに対して良好なエッチング選択性を有している。なぜなら、Wまたはその合金は、塩素系プラズマ中ではエッチングされにくいからである(TiNまたはTaNは、塩素化学剤によってエッチングされる)。一方、Wまたはその合金は、フッ素系プラズマ中で簡単にエッチングしてパターン化できる。W合金は、フッ素系プラズマ中で簡単にエッチングされる。従って、その結果、金属化層M3のRIEを完了した後でも、残留しているハードマスクが露出していれば、接触抵抗を下げるためにWNを薄層化できる(この薄層化では、ハードマスク材質142/242/343が破損しても、TJキャップ層が破壊されるリスクはない)。
表1の例1では、例えばトンネル接合部(TJ)エッチングをよりよく制御することで、ハードマスク材質142/242/342の開口RIEを、WNで停止できる。オフセットセルTJエッチングでは、例えば磁気層120(図6には示さず)に接触するトランジスタ接触部金属化ストリップを保持するため、エッチングをTJスタックの内部で停止する。
本発明の実施形態に基づいてTJエッチングの開始点の制御を改善することにより、磁気層120の残りの厚みを指定範囲内に(within specification)維持し、これがFETに対する接触部を形成する。交差点構造セルのTJのエッチング時間が長すぎる場合、金属化層112/212/312のM2銅をエッチングしないように、エッチングを最小化してもよい。もし金属化層112/212/312のM2銅がエッチングされたら、結晶粒方向のエッチングのために、Cu表面が粗くなってしまう。
WNのTJスタックキャップ層140/240/340を使用することにより、特にTJエッチングの後に残留しているMxの厚みが重要なFETセルに対して、全体的な加工域が改善される。この実施形態では、ハードマスク材質142/242/342が、例えばTaNまたはTiNのような導電性窒化物を含むことが好ましい。
他の実施形態(例えば、表1の例2および例3)では、WNを、TJハードマスク材質142/242/342として使用してもよい。しかしながら、TJスタックキャップ層は、例えばTaNまたはTiNのような導電性窒化物を含むことが好ましい。ハードマスク材質142/242/342の開口RIEでは、フッ素系加工なので、WNハードマスク材質142/242/342により、エッチングがTaNまたはTiNで停止する。なぜなら、TiNは、フッ素化学剤中ではエッチングされにくいからである。この組み合わせで問題となるのは、次の金属面のための通常のSiOILDトレンチエッチングに対して、WNのエッチング選択性がより低い点である。この問題を解決するため、SiOではなく、SILKTMまたはポリベンゾキサゾール(polybenzoxazol)のような有機ILDを、ILD材質134/234/334に使用してもよい。
抵抗半導体記憶装置の製造方法についても本願に開示する。上記方法は、基材を供給するステップと、上記基材の上に第1層間誘電体を形成するステップと、上記第1層間誘電体の中に複数の第1導電線を配置するステップとを含む。この方法は、上記第1導電線上に種膜を形成するステップと、上記種膜上に第1磁気層を形成するステップと、上記第1磁気層上にトンネル障壁層を形成するステップとを含む。上記トンネル障壁上に第2磁気層を堆積し、上記第2磁気層上に、キャップ層を堆積し、上記キャップ層上にハードマスク材質を堆積する。この方法は、上記ハードマスク上にレジストを堆積ステップと、上記レジストをパターン化するステップと、上記ハードマスク材質の領域が露出するようにレジストの一部を除去するステップとを含む。上記レジストを使用して、ハードマスク材質をパターン化し、ハードマスクを形成する。キャップ層、第2磁気層、およびトンネル障壁層をパターン化するために、パターン化したハードマスクを使用して、複数のトンネル接合部を形成する。キャップ層またはハードマスク層および/または種膜が、WNを含んでいる。
図6および図8に示すように、ハードマスク142は、完成したMRAM装置に残留するような材質を含んでいても有利である。あるいは、図7に示す他の実施形態のように、ハードマスク142を、MRAM装置の製造を終了する前にMTJ214上から除去してもよい。
本発明の実施形態の利点は、キャップ層上に明確に定義されたハードマスク開口エッチング停止部が設けられる点である。これにより、トンネル接合部エッチング加工を最適化できる。また、ウエットエッチング時の過剰なエッチングまたはアンダーカット(undercutting)が原因で生じる可能性のある、再堆積問題が解決される。本発明の実施形態は、金属化層、またはトンネル障壁層上で停止するウエットエッチングに対するエッチング停止部がもともと備えられていない、FETセルおよび塩素系RIEに対し、特に有用なものである。
なお、ここまで、本発明の実施形態を、FETのようなMRAM装置および交差点MRAM装置用の特定用途に関して説明してきた。しかし、本発明の実施形態は、他のMRAM装置設計および他の抵抗半導体装置にも適用される。
実施例を参考にしながら本発明を説明してきたが、この説明は、限定を示すためのものではない。この説明を参考にすれば、当業者は、本発明の実施例の組み合わせによる、さまざまな変更および他の実施形態を想定できるだろう。さらに、加工ステップの順序が当業者によって変更されても、それは依然として本発明の範囲である。それゆえ、添付の請求項は、これらの変更または実施形態を含むものである。さらに、本願の範囲は、明細書に記載したプロセス、機械装置、製造、組成物、手段、方法およびステップの特定の実施形態に制限されない。従って、添付の請求項の範囲に、このようなプロセス、機械装置、製造、組成物、手段、方法又はステップが含まれている。
記憶セルにアクセスするために各記憶セルの下にワード線、および上にビット線が配置されている、アレイ状に配置された磁気スタック記憶セルを有する従来技術のMRAM装置の斜視図である。 図1に示すMRAM装置の平面図である。 MRAM装置の断面図である。 本発明の実施形態に基づいた、さまざまな製造段階のMRAM装置の断面図である。 本発明の実施形態に基づいた、さまざまな製造段階のMRAM装置の断面図である。 本発明の実施形態に基づいた、さまざまな製造段階のMRAM装置の断面図である。 ハードマスクを除去した本発明の実施形態の断面図である。 第1導電線上に酸化物層のないMRAM構造における、本発明の実施形態の交叉点の断面図である。

Claims (27)

  1. 抵抗半導体記憶装置の製造方法において、
    基材を準備するステップと、
    上記基材の上に第1層間誘電体を形成するステップと、
    上記第1層間誘電体の中に複数の第1導電線を配置するステップと、
    上記第1導電線上に種膜を形成するステップと、
    上記種膜上に第1磁気層を形成するステップと、
    上記第1磁気スタック上にトンネル障壁層を形成するステップと、
    上記トンネル障壁上に第2磁気層を堆積するステップと、
    上記第2磁気スタック層上にキャップ層を堆積するステップと、
    上記キャップ層上にハードマスク材質を堆積するステップと、
    ハードマスクを形成するために上記ハードマスク材質をパターン化するステップと、
    上記キャップ層、第2磁気層、トンネル障壁層をパターン化して複数のトンネル接合部を形成するために、上記パターン化されたハードマスクを用いるステップとを含み、
    キャップ層を堆積するステップ、ハードマスク材質を堆積するステップ、または種膜を堆積するステップの少なくとも1つが、WNを堆積するステップを含む、抵抗半導体記憶装置の製造方法。
  2. 上記キャップ層を堆積するステップが、WNを堆積するステップを含み、
    上記ハードマスク材質を堆積するステップが、導電性窒化物を堆積するステップを含む、請求項1に記載の方法。
  3. 上記ハードマスク材質を堆積するステップが、WNを堆積するステップを含み、
    上記キャップ層を堆積するステップが、導電性窒化物を堆積するステップを含む、請求項1に記載の方法。
  4. 上記第1磁気スタック層をパターン化するステップと、
    トンネル接合部絶縁材質を上記複数のトンネル接合部の間に堆積するステップとをさらに含む、請求項1に記載の方法。
  5. 上記トンネル接合部絶縁材質を堆積するステップが、SiまたはSiOを堆積するステップを含む、請求項4に記載の方法。
  6. 上記トンネル接合部絶縁材質上に第2層間誘電体を堆積するステップをさらに含む、請求項4に記載の方法。
  7. 上記第2層間誘電体を堆積するステップが、SiOまたは有機誘電体材質を堆積するステップを含む、請求項6に記載の方法。
  8. 上記キャップ層をパターン化した後に、上記ハードマスク材質を除去するステップをさらに含む、請求項1に記載の方法。
  9. ハードマスク材質を堆積するステップが、約200〜2000Åの導電材質を堆積するステップを含み、
    上記キャップ層を堆積するステップが、約75〜250Åの材質を堆積するステップを含む、請求項1に記載の方法。
  10. 上記抵抗半導体記憶装置が、磁気ランダムアクセスメモリ(MRAM)装置を含む、請求項1に記載の方法。
  11. 上記第1導電線上に酸化物を堆積するステップをさらに含み、この酸化物上に上記の種膜を形成し、この酸化物を電界効果トランジスタと接続する、請求項1に記載の方法。
  12. ハードマスクを形成するために上記ハードマスク材質をパターン化するステップが、
    上記ハードマスク上にレジストを堆積するステップと、
    上記レジストをパターン化するステップと、
    上記ハードマスク材質の領域が露出するように上記レジストの一部を除去するステップと、
    上記ハードマスク材質をパターン化し、上記ハードマスクを形成するために、上記レジストを用いるステップと、
    を含む、請求項1に記載の方法。
  13. 請求項1に記載の方法によって製造された、抵抗半導体記憶装置。
  14. 複数の第1導電線と、
    上記第1導電線の少なくとも一部分の上に配置された種膜と、
    上記種膜上に配置された第1磁気層と、
    上記第1磁気層上に配置されたトンネル障壁と、
    上記トンネル障壁上に配置された第2磁気層と、
    上記第2磁気層上に配置されたキャップ層と、
    を含み、上記種膜および上記キャップ層の少なくとも1つはWNを含む、抵抗半導体記憶装置。
  15. 上記キャップ層が、約75〜250Åの材質を含む、請求項15に記載の抵抗半導体記憶装置。
  16. 上記キャップ層上に配置されたハードマスク材質をさらに含み、上記ハードマスク材質または上記キャップ層の少なくとも1つが、WNを含む、請求項15に記載の抵抗半導体記憶装置。
  17. 上記ハードマスク材質が、約200〜2000Åの材質を含む、請求項17に記載の抵抗半導体記憶装置。
  18. 上記ハードマスク材質がWNを含み、上記キャップ層が導電性窒化物を含む、請求項17に記載の抵抗半導体記憶装置。
  19. 上記ハードマスク材質が導電性窒化物を含み、上記キャップ層がWNを含む、請求項17に記載の抵抗半導体記憶装置。
  20. 上記種膜がWNを含む、請求項17に記載の抵抗半導体記憶装置。
  21. 上記抵抗半導体記憶装置が、磁気ランダムアクセスメモリ(MRAM)装置を含み、上記種膜、第1磁気層、トンネル障壁および第2磁気層が、磁気トンネル接合部(MTJ)を形成するようにパターン化されている、請求項15に記載の抵抗半導体記憶装置。
  22. 上記MTJ上に配置された複数の第2導電線をさらに含む、請求項22に記載の抵抗半導体記憶装置。
  23. 上記第1導電線の真下に配置された基材と、
    上記基材上に配置された第1層間誘電体と、
    上記複数のMTJ間の上記第1層間誘電体上に配置された、トンネル接合部絶縁材質と、
    上記トンネル接合部絶縁材質上に配置された第2層間誘電体と、
    をさらに含み、
    上記第1層間誘電体の内部に上記第1導電線が形成され、
    上記第2層間誘電体の内部に上記第2導電線が形成されている、
    請求項23に記載の抵抗半導体記憶装置。
  24. 上記トンネル接合部絶縁材質を堆積するステップが、SiまたはSiOを堆積するステップを含み、
    上記第2層間誘電体を堆積するステップが、SiOまたは有機誘電体材質を堆積するステップを含む、請求項15に記載の抵抗半導体記憶装置。
  25. 上記第1導電線上に配置された酸化物をさらに含み、該酸化物上に上記種膜が形成されており、該酸化物が電界効果トランジスタと接続されている、請求項15に記載の抵抗半導体記憶装置。
  26. 上記キャップ層がWNを含む、請求項15に記載の抵抗半導体記憶装置。
  27. 上記種膜がWNを含む、請求項15に記載の抵抗半導体記憶装置。
JP2003585097A 2002-04-18 2003-04-17 Mram加工におけるトンネル接合部キャップ層、トンネル接合部ハードマスク、およびトンネル接合部スタック種膜の材質の組み合わせ Pending JP2005523575A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/124,950 US6815248B2 (en) 2002-04-18 2002-04-18 Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in MRAM processing
PCT/EP2003/004095 WO2003088253A1 (en) 2002-04-18 2003-04-17 Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in mram processing

Publications (1)

Publication Number Publication Date
JP2005523575A true JP2005523575A (ja) 2005-08-04

Family

ID=29214684

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003585097A Pending JP2005523575A (ja) 2002-04-18 2003-04-17 Mram加工におけるトンネル接合部キャップ層、トンネル接合部ハードマスク、およびトンネル接合部スタック種膜の材質の組み合わせ

Country Status (8)

Country Link
US (1) US6815248B2 (ja)
EP (1) EP1495470B1 (ja)
JP (1) JP2005523575A (ja)
KR (1) KR100727710B1 (ja)
CN (1) CN100444280C (ja)
DE (1) DE60301344T2 (ja)
TW (1) TWI243378B (ja)
WO (1) WO2003088253A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008155832A1 (ja) * 2007-06-20 2008-12-24 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP2010225888A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 半導体装置の製造方法
JP2011504301A (ja) * 2007-11-20 2011-02-03 クゥアルコム・インコーポレイテッド 磁気トンネル接合構造体を形成する方法
JP2012248878A (ja) * 2012-08-10 2012-12-13 Sony Corp 記憶素子及びメモリ
KR101470913B1 (ko) * 2013-05-20 2014-12-09 한국과학기술원 공유층을 구비한 mtj소자 어레이 및 이의 제조방법
JP2015046528A (ja) * 2013-08-29 2015-03-12 株式会社アルバック 磁気抵抗素子の製造方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6870758B2 (en) * 2002-10-30 2005-03-22 Hewlett-Packard Development Company, L.P. Magnetic memory device and methods for making same
US7183120B2 (en) * 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US20060138576A1 (en) * 2003-06-24 2006-06-29 Galdis Michael C Self-aligned conductive lines for fet-based magnetic random access memory devices and method of forming the same
KR100512180B1 (ko) * 2003-07-10 2005-09-02 삼성전자주식회사 자기 랜덤 엑세스 메모리 소자의 자기 터널 접합 및 그의형성방법
US6984529B2 (en) * 2003-09-10 2006-01-10 Infineon Technologies Ag Fabrication process for a magnetic tunnel junction device
US7112861B2 (en) * 2004-05-14 2006-09-26 International Business Machines Corporation Magnetic tunnel junction cap structure and method for forming the same
US7045368B2 (en) * 2004-05-19 2006-05-16 Headway Technologies, Inc. MRAM cell structure and method of fabrication
US7368299B2 (en) * 2004-07-14 2008-05-06 Infineon Technologies Ag MTJ patterning using free layer wet etching and lift off techniques
KR100975803B1 (ko) 2004-07-16 2010-08-16 헤드웨이 테크놀로지스 인코포레이티드 Mtj mram 셀, mtj mram 셀들의 어레이, 및 mtj mram 셀을 형성하는 방법
US7067330B2 (en) 2004-07-16 2006-06-27 Headway Technologies, Inc. Magnetic random access memory array with thin conduction electrical read and write lines
US20060022286A1 (en) * 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells
US7645618B2 (en) * 2004-09-09 2010-01-12 Tegal Corporation Dry etch stop process for eliminating electrical shorting in MRAM device structures
US7169623B2 (en) * 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
KR100695135B1 (ko) * 2004-12-17 2007-03-14 삼성전자주식회사 TiN을 상지층으로 사용한 자기 저항 소자
US7251110B2 (en) 2005-01-18 2007-07-31 Hitachi Global Storage Technologies Netherlands B.V. GMR sensor having layers treated with nitrogen for increased magnetoresistance
US7172908B2 (en) * 2005-02-15 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic memory cells and manufacturing methods
JP4373938B2 (ja) * 2005-02-17 2009-11-25 株式会社東芝 磁気ランダムアクセスメモリ
US7334317B2 (en) * 2005-06-06 2008-02-26 Infineon Technologies Ag Method of forming magnetoresistive junctions in manufacturing MRAM cells
KR100644869B1 (ko) * 2005-06-24 2006-11-14 광주과학기술원 결정성 산화막의 저항변화를 이용한 비휘발성 기억소자
US20070054450A1 (en) * 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US7623325B2 (en) * 2005-09-29 2009-11-24 Hitachi Global Storage Technologies Netherlands B.V. Method for providing an endpoint layer for ion milling of top of read sensor having top lead connection and sensor formed thereby
US7345911B2 (en) * 2006-02-14 2008-03-18 Magic Technologies, Inc. Multi-state thermally assisted storage
MX2008011661A (es) * 2006-03-16 2008-09-22 Novartis Ag Compuestos organicos heterociclicos para el tratamiento de melanoma en particular.
US8450119B2 (en) * 2006-03-17 2013-05-28 Magic Technologies, Inc. Magnetic tunnel junction patterning using Ta/TaN as hard mask
DE102006031339A1 (de) * 2006-07-06 2008-01-10 Qimonda Ag Speicherstruktur und Verfahren zu deren Herstellung
US7423282B2 (en) * 2006-07-06 2008-09-09 Infineon Technologies Ag Memory structure and method of manufacture
JP5076429B2 (ja) * 2006-10-02 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100809724B1 (ko) * 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
US9368716B2 (en) * 2009-02-02 2016-06-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) storage element and spin transfer torque magnetoresistive random access memory (STT-MRAM) cells having an MTJ
US7863060B2 (en) * 2009-03-23 2011-01-04 Magic Technologies, Inc. Method of double patterning and etching magnetic tunnel junction structures for spin-transfer torque MRAM devices
JP2010045398A (ja) * 2009-11-17 2010-02-25 Canon Anelva Corp 磁気抵抗効果素子の製造方法
US8962493B2 (en) * 2010-12-13 2015-02-24 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics
US8895323B2 (en) * 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
US8748197B2 (en) * 2012-03-14 2014-06-10 Headway Technologies, Inc. Reverse partial etching scheme for magnetic device applications
US9007818B2 (en) 2012-03-22 2015-04-14 Micron Technology, Inc. Memory cells, semiconductor device structures, systems including such cells, and methods of fabrication
US8923038B2 (en) 2012-06-19 2014-12-30 Micron Technology, Inc. Memory cells, semiconductor device structures, memory systems, and methods of fabrication
US9054030B2 (en) 2012-06-19 2015-06-09 Micron Technology, Inc. Memory cells, semiconductor device structures, memory systems, and methods of fabrication
US8901687B2 (en) 2012-11-27 2014-12-02 Industrial Technology Research Institute Magnetic device with a substrate, a sensing block and a repair layer
WO2014164520A1 (en) * 2013-03-11 2014-10-09 Dafna Beery Magnetic random access memory cells with isolating liners
US9379315B2 (en) 2013-03-12 2016-06-28 Micron Technology, Inc. Memory cells, methods of fabrication, semiconductor device structures, and memory systems
US9368714B2 (en) 2013-07-01 2016-06-14 Micron Technology, Inc. Memory cells, methods of operation and fabrication, semiconductor device structures, and memory systems
US9466787B2 (en) 2013-07-23 2016-10-11 Micron Technology, Inc. Memory cells, methods of fabrication, semiconductor device structures, memory systems, and electronic systems
US9461242B2 (en) 2013-09-13 2016-10-04 Micron Technology, Inc. Magnetic memory cells, methods of fabrication, semiconductor devices, memory systems, and electronic systems
US9608197B2 (en) 2013-09-18 2017-03-28 Micron Technology, Inc. Memory cells, methods of fabrication, and semiconductor devices
US10454024B2 (en) 2014-02-28 2019-10-22 Micron Technology, Inc. Memory cells, methods of fabrication, and memory devices
US9281466B2 (en) 2014-04-09 2016-03-08 Micron Technology, Inc. Memory cells, semiconductor structures, semiconductor devices, and methods of fabrication
US9269888B2 (en) 2014-04-18 2016-02-23 Micron Technology, Inc. Memory cells, methods of fabrication, and semiconductor devices
US9349945B2 (en) 2014-10-16 2016-05-24 Micron Technology, Inc. Memory cells, semiconductor devices, and methods of fabrication
US9768377B2 (en) 2014-12-02 2017-09-19 Micron Technology, Inc. Magnetic cell structures, and methods of fabrication
US10439131B2 (en) 2015-01-15 2019-10-08 Micron Technology, Inc. Methods of forming semiconductor devices including tunnel barrier materials
KR102465539B1 (ko) 2015-09-18 2022-11-11 삼성전자주식회사 자기 터널 접합 구조체를 포함하는 반도체 소자 및 그의 형성 방법
US11569440B2 (en) * 2017-01-17 2023-01-31 Yimin Guo Making a memoristic array with an implanted hard mask
US10374013B2 (en) * 2017-03-30 2019-08-06 Sandisk Technologies Llc Methods and apparatus for three-dimensional nonvolatile memory
US9972777B1 (en) * 2017-04-05 2018-05-15 Headway Technologies, Inc. MTJ device process/integration method with pre-patterned seed layer
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory
US10797225B2 (en) * 2018-09-18 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual magnetic tunnel junction (DMTJ) stack design
US11424292B2 (en) * 2020-06-22 2022-08-23 Western Digital Technologies, Inc. Memory array containing capped aluminum access lines and method of making the same

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104227A (ja) * 1996-03-18 1998-01-06 Internatl Business Mach Corp <Ibm> 磁気応答が制御可能な磁気トンネル接合
JPH11273338A (ja) * 1998-03-23 1999-10-08 Toshiba Corp 磁気記憶装置及びその駆動方法
WO2000031809A1 (de) * 1998-11-19 2000-06-02 Infineon Technologies Ag Magnetoresistives element und dessen verwendung als speicherelement in einer speicherzellenanordnung
JP2001230471A (ja) * 1999-11-22 2001-08-24 Headway Technologies Inc 磁気抵抗変換素子およびその製造方法
WO2001071777A2 (en) * 2000-03-21 2001-09-27 Motorola, Inc. Method of fabricating flux concentrating layer for use with magnetoresistive random access memories
JP2001284679A (ja) * 2000-03-28 2001-10-12 Toshiba Corp 磁気素子およびその製造方法
JP2001298228A (ja) * 2000-02-28 2001-10-26 Hewlett Packard Co <Hp> Mramデバイス用スピン依存型トンネリング接合
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
WO2002019338A1 (de) * 2000-09-01 2002-03-07 Infineon Technologies Ag Speicherzellenanordnung und verfahren zu deren herstellung
JP2003198005A (ja) * 2001-12-28 2003-07-11 Tdk Corp 磁気抵抗効果素子、これを用いた磁気ヘッド及びその製造方法、並びにヘッドサスペンションアセンブリ
JP2003298144A (ja) * 2002-03-29 2003-10-17 Toshiba Corp 磁気抵抗効果素子及びその製造方法、磁気ヘッド並びに磁気再生装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1115723C (zh) * 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US5801984A (en) * 1996-11-27 1998-09-01 International Business Machines Corporation Magnetic tunnel junction device with ferromagnetic multilayer having fixed magnetic moment
US6127045A (en) * 1998-05-13 2000-10-03 International Business Machines Corporation Magnetic tunnel junction device with optimized ferromagnetic layer
JPH11339223A (ja) * 1998-05-26 1999-12-10 Tdk Corp 磁性層のエッチング方法、薄膜磁気ヘッドの磁極の形成方法および薄膜磁気ヘッドの製造方法
DE59904972D1 (de) * 1998-07-15 2003-05-15 Infineon Technologies Ag Speicherzellenanordnung, bei der ein elektrischer widerstand eines speicherelements eine information darstellt und durch ein magnetfeld beeinflussbar ist, und verfahren zu deren herstellung
US6097579A (en) * 1998-08-21 2000-08-01 International Business Machines Corporation Tunnel junction head structure without current shunting
US6219212B1 (en) * 1998-09-08 2001-04-17 International Business Machines Corporation Magnetic tunnel junction head structure with insulating antiferromagnetic layer
US6373092B1 (en) * 1998-09-29 2002-04-16 Texas Instruments Incorporated Staggered-edge capacitor electrode
US6392257B1 (en) * 2000-02-10 2002-05-21 Motorola Inc. Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same
US6713195B2 (en) * 2001-01-05 2004-03-30 Nve Corporation Magnetic devices using nanocomposite materials
US6440753B1 (en) * 2001-01-24 2002-08-27 Infineon Technologies North America Corp. Metal hard mask for ILD RIE processing of semiconductor memory devices to prevent oxidation of conductive lines
US6611453B2 (en) * 2001-01-24 2003-08-26 Infineon Technologies Ag Self-aligned cross-point MRAM device with aluminum metallization layers
US6538920B2 (en) * 2001-04-02 2003-03-25 Manish Sharma Cladded read conductor for a pinned-on-the-fly soft reference layer
US6633497B2 (en) * 2001-06-22 2003-10-14 Hewlett-Packard Development Company, L.P. Resistive cross point array of short-tolerant memory cells
US20030021908A1 (en) * 2001-07-27 2003-01-30 Nickel Janice H. Gas cluster ion beam process for smoothing MRAM cells
US6627913B2 (en) * 2001-09-10 2003-09-30 Micron Technology, Inc. Insulation of an MRAM device through a self-aligned spacer
US6635496B2 (en) * 2001-10-12 2003-10-21 Infineon Technologies, Ag Plate-through hard mask for MRAM devices
US6518588B1 (en) * 2001-10-17 2003-02-11 International Business Machines Corporation Magnetic random access memory with thermally stable magnetic tunnel junction cells
US6638774B2 (en) * 2002-01-15 2003-10-28 Infineon Technologies, Ag Method of making resistive memory elements with reduced roughness
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104227A (ja) * 1996-03-18 1998-01-06 Internatl Business Mach Corp <Ibm> 磁気応答が制御可能な磁気トンネル接合
JPH11273338A (ja) * 1998-03-23 1999-10-08 Toshiba Corp 磁気記憶装置及びその駆動方法
WO2000031809A1 (de) * 1998-11-19 2000-06-02 Infineon Technologies Ag Magnetoresistives element und dessen verwendung als speicherelement in einer speicherzellenanordnung
JP2001230471A (ja) * 1999-11-22 2001-08-24 Headway Technologies Inc 磁気抵抗変換素子およびその製造方法
JP2001298228A (ja) * 2000-02-28 2001-10-26 Hewlett Packard Co <Hp> Mramデバイス用スピン依存型トンネリング接合
WO2001071777A2 (en) * 2000-03-21 2001-09-27 Motorola, Inc. Method of fabricating flux concentrating layer for use with magnetoresistive random access memories
JP2001284679A (ja) * 2000-03-28 2001-10-12 Toshiba Corp 磁気素子およびその製造方法
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
WO2002019338A1 (de) * 2000-09-01 2002-03-07 Infineon Technologies Ag Speicherzellenanordnung und verfahren zu deren herstellung
JP2003198005A (ja) * 2001-12-28 2003-07-11 Tdk Corp 磁気抵抗効果素子、これを用いた磁気ヘッド及びその製造方法、並びにヘッドサスペンションアセンブリ
JP2003298144A (ja) * 2002-03-29 2003-10-17 Toshiba Corp 磁気抵抗効果素子及びその製造方法、磁気ヘッド並びに磁気再生装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008155832A1 (ja) * 2007-06-20 2008-12-24 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP2011504301A (ja) * 2007-11-20 2011-02-03 クゥアルコム・インコーポレイテッド 磁気トンネル接合構造体を形成する方法
JP2013243395A (ja) * 2007-11-20 2013-12-05 Qualcomm Inc 磁気トンネル接合構造体を形成する方法
US9136463B2 (en) 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
JP2010225888A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 半導体装置の製造方法
JP2012248878A (ja) * 2012-08-10 2012-12-13 Sony Corp 記憶素子及びメモリ
KR101470913B1 (ko) * 2013-05-20 2014-12-09 한국과학기술원 공유층을 구비한 mtj소자 어레이 및 이의 제조방법
JP2015046528A (ja) * 2013-08-29 2015-03-12 株式会社アルバック 磁気抵抗素子の製造方法

Also Published As

Publication number Publication date
WO2003088253A1 (en) 2003-10-23
TWI243378B (en) 2005-11-11
DE60301344T2 (de) 2006-06-08
TW200405337A (en) 2004-04-01
CN100444280C (zh) 2008-12-17
US6815248B2 (en) 2004-11-09
US20030199104A1 (en) 2003-10-23
CN1647206A (zh) 2005-07-27
EP1495470A1 (en) 2005-01-12
DE60301344D1 (de) 2005-09-22
KR100727710B1 (ko) 2007-06-13
EP1495470B1 (en) 2005-08-17
KR20050000518A (ko) 2005-01-05

Similar Documents

Publication Publication Date Title
US6815248B2 (en) Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in MRAM processing
US11683988B2 (en) Semiconductor device
US6440753B1 (en) Metal hard mask for ILD RIE processing of semiconductor memory devices to prevent oxidation of conductive lines
US6806096B1 (en) Integration scheme for avoiding plasma damage in MRAM technology
US6611453B2 (en) Self-aligned cross-point MRAM device with aluminum metallization layers
US6783999B1 (en) Subtractive stud formation for MRAM manufacturing
US20030224260A1 (en) Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US6635496B2 (en) Plate-through hard mask for MRAM devices
KR102518679B1 (ko) 비휘발성 메모리 디바이스 및 제조 기술
US20230140896A1 (en) Semiconductor device and method for fabricating the same
US11189791B2 (en) Integrated circuit and fabrication method thereof
US6635546B1 (en) Method and manufacturing MRAM offset cells in a damascene structure
US6849465B2 (en) Method of patterning a magnetic memory cell bottom electrode before magnetic stack deposition
US10957850B2 (en) Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication
TWI793612B (zh) 磁穿隧接面記憶裝置及其形成方法、記憶裝置的形成方法
US20230165156A1 (en) Magnetoresistive random-access memory (mram) with preserved underlying dielectric layer
US20230172073A1 (en) Tall bottom electrode structure in embedded magnetoresistive random-access memory

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080819

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081118

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20081118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090107

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090303