JP2005150769A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2005150769A
JP2005150769A JP2005011717A JP2005011717A JP2005150769A JP 2005150769 A JP2005150769 A JP 2005150769A JP 2005011717 A JP2005011717 A JP 2005011717A JP 2005011717 A JP2005011717 A JP 2005011717A JP 2005150769 A JP2005150769 A JP 2005150769A
Authority
JP
Japan
Prior art keywords
layer
gate
substrate
gate electrode
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005011717A
Other languages
English (en)
Other versions
JP4468187B2 (ja
Inventor
Norihisa Oiwa
大岩 徳久
P Gambini Jeffrey
ジェフリー・ピー・ガンビーニ
Katsuya Okumura
奥村 勝弥
Junichi Shiozawa
順一 塩澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
International Business Machines Corp
Original Assignee
Toshiba Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, International Business Machines Corp filed Critical Toshiba Corp
Publication of JP2005150769A publication Critical patent/JP2005150769A/ja
Application granted granted Critical
Publication of JP4468187B2 publication Critical patent/JP4468187B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】 本発明は、エッチング時の選択性を向上でき、多層構造間のアスペクト比を低減でき、もって、高集積化を図る。
【解決手段】 基板1と、基板上に選択的に形成されたゲート酸化膜2と、ゲート酸化膜上に形成されたゲート電極(3,4)と、ゲート電極上に形成されたSiN層5と、多層ゲートキャップ上及びゲート電極の側壁に形成され、複数の絶縁膜10a,10bからなる多層ストッパ10と、ゲート電極下に形成されるチャネル形成領域に接して基板の表面に形成された拡散層6とを備えたことにより、層間絶縁層としてのSiO2 層8のエッチングの際に、SiO2 層8のエッチングレートよりも遅いエッチングレートをもつアモルファスシリコン層10bを露出できる半導体装置及びその製造方法。
【選択図】 図1

Description

本発明は、高い集積度で多層構造(以下、スタック(stack) 構造ともいう)をもつ半導体装置及びその製造方法に係り、特に、スタック構造間のコンタクトホール等のアスペクト比を低減でき、高集積化を図り得る半導体装置及びその製造方法に関する。
DRAM(dynamic random access memory)のように高い集積度をもつ半導体装置は、最小加工寸法が縮小されることにより、集積度が向上されている。そして通常、リソグラフィ工程のマスク合わせ精度とは無関係に微細な素子を形成可能な自己整合技術が広く用いられている。
この種の自己整合技術は、素子構造に応じて様々な種類がある。ここでは、256MDRAMに必要であり、コンタクトホールを設計通りに半導体層の表面に形成するためのSAC(self-aligned contact) を例に挙げて述べる。なお、SACは、層間絶縁膜の形成前に、予めゲート電極上にエッチングストッパを形成することにより、エッチングの選択比を得ると共に、リソグラフィ工程におけるマスク合わせずれのマージンの向上を図る技術である。
図12及び図13はSAC を用いた256MDRAMの製造工程図であり、現在の256M POR(process of record) 構造を示している。図12(a)に示すように、シリコン基板1上に薄いゲート酸化膜2が形成される。また、図12(b)に示すように、ゲート酸化膜2上に、100nm厚の多結晶シリコン(以下、poly−Siという)層3及び55nm厚のタングステンシリコン(以下、WSiという)層4からなるゲート電極材料と、絶縁性のゲートキャップ層としての160nm厚の窒化シリコン(以下、SiNという)層5とがCVD法により順次堆積される。
続いて、図12(c)に示すように、例えばリソグラフィ法とRIE (reactiveion etching)法により、SiN層5、WSi層4及びpoly−Si層3の一部がゲート酸化膜を露出させるまで選択的にエッチングされ、ゲート電極が形成される。さらに、例えば熱酸化により、図示しない後酸化膜がWSi層4及びpoly−Si層3の側面に形成される。その後、例えばイオン注入法により、ヒ素等の不純物がゲート酸化膜2を介してシリコン基板1に添加され、基板1表面にドレイン(又はソース)拡散層6が形成される。
次に、図12(d)に示すように、CVD 法により、エッチングストッパ層としての40nm厚のSiN層7がSiN層5上と、poly−Si層3からWSi層4を介するSiN層5までの側壁上と、ゲート酸化膜2上とに堆積される。次に、図12(e)に示すように、SiN層7上に、層間絶縁層としてのSiO2 層8がCVD 法により堆積される。
次に、図12(f)に示すように、ゲート電極間のコンタクトホール領域を含んでゲート電極にオーバーラップするように選択的にレジスト層9が形成される。このため、レジスト層9が多少ずれて形成されても、確実にコンタクトホール領域をレジスト層9間に配置可能となっている。
続いて、図13(a)に示すように、RIE 法により、レジスト層9間に露出していたSiO2 層8がエッチングされる。このとき、ゲート電極隅のSiN層7がエッチングされ、その下のSiN層5も10nm程度エッチングされる。
次に、図13(b)に示すように、RIE 法により、コンタクトホール領域の底のSiN層7がエッチングされる。このとき、ゲート電極隅のSiN層5が100nmエッチングされ、50nm厚のSiN層5が残る。
以下、露出されたゲート酸化膜2及びレジスト層9が除去され、露出されたシリコン基板1の表面に接するようにドレイン(又はソース)電極が形成され、DRAMに適用可能なMOS トランジスタが完成する。また、DRAMの種類に応じて適宜、キャパシタが形成され、DRAMが完成する。
さて、上述した工程中、図13(b)に示したゲート隅のSiN層5の厚さ50nmは、コンタクトホールに充填される導電電極とゲート電極との間の電流漏れを阻止するための膜厚の最小限界である。なお次世代のデバイスでは、この膜厚の最小限界は20nm程度に下がる可能性もあるが、現在の最小限界は50nmである。
SiO2 層8及びSiN層7のエッチング後に厚さ50nmのSiN層5を維持するためには、前述したように、始めに160nm厚のSiN層5が必要である。
しかしながら、このような厚いSiN層5は、多層構造のゲート電極(以下、ゲートスタックともいう)間のアスペクト比を高くしてしまい、ゲート電極間へのSiO2 層8の充填及びエッチングを困難にさせる問題がある。
従って、薄いゲートスタックは、アスペクト比の低減のために必要であり、また、256MDRAM及びより小さい下地規則パターンを持つ次世代デバイスのためにも必要である。
しかしながら、薄いゲートスタックを実現するには、エッチングの際に、ゲートスタック隅の窒化物に比べ、充填された酸化物(SiO2 層8)を非常に高い選択比でエッチング可能な技術が要求される。
本発明は上記実情を考慮してなされたもので、エッチング時の選択性を向上でき、多層構造間のアスペクト比を低減でき、もって、高集積化を図り得る半導体装置及びその製造方法を提供することを目的とする。
本発明は、多層構造物と、エッチング対象物とを同一基板上に配置させ、エッチング対象物のエッチング時に、遅いエッチングレートをもつエッチングストッパ層を多層構造物から露出させることにより、エッチング対象物を高い選択比でエッチングする。
また、エッチングレートの遅い度合いに伴ってエッチングストッパ層の厚さを低減できるので、結果的に、多層構造物の総厚を低減可能である。なお、自己整合技術は、本発明に必須ではないが、適用されることが信頼性向上の観点から好ましい。また、DRAMに応用される場合、ゲートスタック間のコンタクトホールのアスペクト比を低減でき、もって、高集積化を図ることができる。なお、適用対象は、多層構造をもつデバイスであれば、DRAMに限らないことは言うまでもない。
さて、以上のような本発明に基づいて、具体的には以下のような手段が講じられる。
第1の発明は、基板と、前記基板上に選択的に形成されたゲート絶縁膜と、前記ゲート絶縁膜上に形成されたゲート電極と、前記ゲート電極上に形成されたゲートキャップ層と、前記ゲートキャップ層上及びゲート電極の側壁に形成された保護膜(エッチングストッパ)と、前記ゲート電極下に形成されるチャネル形成領域に接して前記基板の表面に形成されたソース・ドレイン拡散層とを備えた半導体装置を対象とする。
また、第2の発明は、基板上に形成されたゲート絶縁膜上にゲート電極を形成する工程と、前記ゲート電極上にゲートキャップ層を形成する工程と、前記ゲートキャップ層をマスクにして前記基板の表面に拡散層を形成する工程と、前記ゲートキャップ層及び前記ゲート電極を覆うように保護膜を前記基板上に形成する工程と、前記保護膜上に層間絶縁層を形成する工程と、前記層間絶縁層及び前記保護絶縁膜をエッチングして前記ゲート電極に自己整合的に開口部を形成して、この開口部底部の前記基板の表面を露出する工程と、前記露出された前記基板の表面に接続された配線層を形成する工程とを含んでいる半導体装置の製造方法を対象とする。
第1及び第2の発明において、ゲートキャップ層及び/又は保護膜は、互いに異なる複数の材料が積層された構成となっている。例えば、保護膜は、ゲートキャップ層上及びゲート電極の側壁に形成された窒化物層と、窒化物層上に形成されたシリコン層とを備えてもよい。
また、ゲートキャップ層は、ゲート電極上に形成された窒化物層と、窒化物層上に形成された酸化物層とを備えてもよい。以上のような構成により、本発明は次のような作用効果を奏する。
保護膜が多層構造の場合、層間絶縁層のエッチングの際に、層間絶縁層のエッチングレートよりも遅いエッチングレートをもつ絶縁層を保護膜から露出できるので、ゲートスタックを薄く形成でき、アスペクト比を低減させることができる。また、アスペクト比の低減に伴い、高集積化を図ることができる。
また、ゲートキャップ層が多層構造の場合、開口部底部の保護膜のエッチングの際には、保護膜のエッチングレートよりも遅いエッチングレートをもつ絶縁層をゲートキャップ層から露出できるので、ゲートスタックを薄く形成でき、アスペクト比を低減させることができ、高集積化を図ることができる。
さらに、保護膜及びゲートキャップ層の両者が多層構造の場合、上述した作用効果を夫々有するので、より一層、ゲートスタックを薄く形成できる。また、アスペクト比の一層の低減に伴い、より高集積化を図ることができる。
以上説明したように本発明によれば、エッチング時の選択性を向上でき、多層構造間のアスペクト比を低減でき、もって、高集積化を図り得る半導体装置及びその製造方法を提供できる。
以下、本発明の各実施形態について図面を参照しながら説明する。
(第1の実施形態)
図1は本発明の第1の実施形態に係る半導体装置の構成の一部を示す断面図であり、図12及び図13と同一部分には同一符号を付してその詳しい説明を省略し、ここでは異なる部分についてのみ述べる。なお、以下の各実施形態についても同様にして説明する。
本実施形態に係る半導体装置は、図1に示すように、シリコン基板1上に、ゲート酸化膜2、poly−Si層3、WSi層4、SiN層5、多層ストッパ(multi-layer stopper) 10及びSiO2 層8が選択的に形成されている。多層ストッパ10は、poly−Si層3、WSi層4及びSiN層5の側壁にも形成されている。
ゲート電極間のシリコン基板1表面には、ドレイン(又はソース)拡散層6が形成されている。また、配線層11がドレイン拡散層6上にコンタクトして形成されている。
すなわち、この半導体装置は、ゲートキャップとしてのSiN層5上と、ゲート電極としてのpoly−Si層3並びにWSi層4の側壁とに、従来とは異なり、多層ストッパ10を備えた構造となっている。
ここで、多層ストッパ10は、互いに異なる材料の積層構造を有し、下層の絶縁材料が、酸化物のエッチング中に酸化物よりも遅いエッチングレートを有している。
具体的には、多層ストッパ10は、SiN層5上及びゲート電極側壁に形成された10nm厚のSiN層10aと、このSiN層10a上に形成された20nm厚のアモルファスシリコン(αSi)層10bとからなる積層構造を有している。なお、酸化物としてのSiO2 層8のエッチング中、エッチングレートの比は、次の(1)式に示す関係がある。
SiO2 :SiN:αSi=1:0.05:0.025 …(1)
また、多層ストッパ10の窒化物としてのSiN層10aのエッチング中、エッチングレートの比は、次の(2)式に示す関係がある。
SiO2 :SiN:αSi=0.5 :1:1 …(2)
次に、以上のような半導体装置の製造方法について図2及び図3を用いて説明する。
図2(a)に示すように、シリコン基板1表面のゲート酸化膜2上に、poly−Si層3、WSi層4及びSiN層5が選択的に形成される。また、シリコン基板1表面に選択的にドレイン(又はソース)拡散層6が形成される。なお、ここまでは、従来の製造工程と同じである。
続いて、図2(b)に示すように、CVD 法により、10nm厚のSiN層10a及び20nm厚のアモルファスシリコン層10bからなる多層ストッパ10がSiN層5上と、poly−Si層3からWSi層4を介するSiN層5までの側壁上と、ゲート酸化膜2上とに堆積される。
以下、前述同様に、図3(a)及び図3(b)に示すように、多層ストッパ10上に、SiO2 層8及びレジスト層9が順次形成される。続いて、図3(c)に示すように、RIE 法により、レジスト層9間に露出していたSiO2 層8がエッチングされる。このとき、ゲート電極隅のアモルファスシリコン層10bがエッチングされ、その下のSiN層10aもエッチングされ、さらに下のSiN層5が10nm程度エッチングされる。
SiO2 層8のエッチング中、多層ストッパ10は、従来のSiN層7よりも全体が薄く形成される一方、窒化物よりも2倍遅いエッチングレートをもつアモルファスシリコン層10bがゲート隅で露出される。このため、薄い多層ストッパ10であっても、ゲートキャップ隅のSiN層5のエッチング深さを従来同様に維持することができる。
次に、図3(d)に示すように、RIE 法により、コンタクトホール領域の底の多層ストッパ10のSiN層10a及びアモルファスシリコン層10bが夫々同じ速度でエッチングされる。このとき、ゲート電極隅のSiN層5が100nmエッチングされ、50nm厚のSiN層5が残る。
以下、露出されたゲート酸化膜2及びレジスト層9が除去される。また、アニールにより、導電体のアモルファスシリコン層が酸化され、絶縁体にされる。続いて、図1に示したように、露出されたシリコン基板1の表面に接するようにドレイン(又はソース)電極としての配線層11が形成され、DRAMに適用可能なMOS トランジスタが完成する。このMOS トランジスタは、図4又は図5に示すように、DRAMの種類に応じて適宜、用いられる。
なお、図4はスタック型のDRAMに組み合わせた場合の断面構成の模式図であり、基板1表面のn型拡散層6a、配線接続層12及びキャパシタ13を介して上方のプレート電極14に接続されたMOS トランジスタを示している。同様に、図5は基板プレート型トレンチに組み合わせた場合の断面構成の模式図であり、基板1表面のn型拡散層6aを介してトレンチ15内部の埋込電極16及びトレンチ側壁のキャパシタ17を介してn型ウェル層(プレート電極)18に接続されたMOS トランジスタを示している。
上述したように本実施形態によれば、層間絶縁層としてのSiO2 層8のエッチングの際に、SiO2 層8のエッチングレートよりも遅いエッチングレートをもつアモルファスシリコン層10bを露出できるので、ゲートスタックを薄く形成でき、アスペクト比を低減させることができる。
例えば、ゲートスタックが従来と比べて10nm薄くなっており、側壁が断面両側で夫々10nmずつ薄くなっている。ここでゲート電極の間隔が200nmであるとすると、本実施形態のアスペクト比は、ゲートスタック高さ/コンタクトホール内径=345/140≒2.46である。これは、従来のアスペクト比=355/120≒2.96に比べ、大幅に低減されている。なお、以下の各実施形態でも同様にアスペクト比の低減の度合いを見積り可能である。また、このようなアスペクト比の低減に伴い、高集積化を図ることができる。
(第2の実施形態)
図6は本発明の第2の実施形態に係る半導体装置の構成の一部を示す断面図である。この半導体装置は、図13(b)に示す従来構成とは異なり、図6に示すように、160nm厚のSiN層5に代えて、WSi層4上に、50nm厚のSiN層21、50nm厚の酸化膜22及び10nm厚のSiN層23の3層からなる110nm厚の多層ゲートキャップ20が形成されている。この多層ゲートキャップ20は、従来のゲートキャップ(SiN層5)よりも、50nmも薄い厚さとなっている。
また、ゲート電極間のシリコン基板1表面には、ドレイン(又はソース)拡散層6が形成されている。また、配線層11がドレイン拡散層6上にコンタクトして形成されている。
ここで、多層ゲートキャップ20は、互いに異なる絶縁材料の積層構造を有し、内部の絶縁材料(SiO2 )が、前述した(2)式に示すように、窒化物のエッチング中に窒化物よりも遅いエッチングレートを有している。
次に、以上のような半導体装置の製造方法について図7及び図8を用いて説明する。図7(a)に示すように、シリコン基板1表面のゲート酸化膜2上に、poly−Si層3、WSi層4、50nm厚のSiN層21、50nm厚の酸化膜22及び10nm厚のSiN層23が形成される。
以下、前述同様に、図7(b)に示すように、例えばリソグラフィ法とRIE 法により、SiN層23〜poly−Si層3の一部がゲート酸化膜2を露出させるまで選択的にエッチングされ、ゲート電極が形成される。さらに、図示しない後酸化膜がWSi層4及びpoly−Si層3の側面に形成される。その後、イオン注入法により、基板1表面にドレイン(又はソース)拡散層6が形成される。
また、図8(a)に示すように、CVD 法により、40nm厚のSiN層7がSiN層23上と、poly−Si層3からWSi層4、SiN層21並びに酸化膜22を介するSiN層23までの側壁上と、ゲート酸化膜2上とに堆積される。また、図8(b)及び図8(c)に示すように、SiN層7上に、SiO2層8及びレジスト層9が順次形成される。
続いて、図8(d)に示すように、RIE 法により、レジスト層9間に露出していたSiO2 層8がエッチングされる。このとき、ゲート電極隅のSiN層7がエッチングされ、その下のSiN層23も10nm程度エッチングされる。
次に、図8(e)に示すように、RIE 法により、コンタクトホール領域の底の多層ストッパのSiN層7がエッチングされる。このSiN層7のエッチング中、窒化物よりも2倍遅いエッチングレートをもつ酸化膜22がゲート隅で露出される。このため、ゲートキャップの総厚を従来に比べ50nmも薄くすることができる。また、このSiN層7のエッチング中、ゲート電極隅の酸化膜22が50nm程度エッチングされ、50nm厚のSiN層21が残る。
以下、露出されたゲート酸化膜2及びレジスト層9が除去される。続いて、露出されたシリコン基板1の表面に接するようにドレイン(又はソース)電極が形成され、図6に示したように、DRAMに適用可能なMOS トランジスタが完成する。このMOS トランジスタは、前述同様に図4又は図5に示すように、DRAMの種類に応じて適宜、用いられる。
上述したように本実施形態によれば、ゲートキャップ層が多層構造の場合、コンタクトホール底部のSiN層7のエッチングの際には、SiN層7のエッチングレートよりも遅いエッチングレートをもつ酸化膜22を多層ゲートキャップ20から露出できるので、ゲートスタックを薄く形成できる。よって、アスペクト比を低減させることができ、高集積化を図ることができる。
(第3の実施形態)
図9は本発明の第3の実施形態に係る半導体装置の一部の構成を示す断面図である。この半導体装置は、第1及び第2の実施形態の組合せであり、図9に示すように、 WSi層4上に、50nm厚のSiN層21及びその上層の50nm厚の酸化膜22からなる100nm厚の多層ゲートキャップ20aが形成されている。この多層ゲートキャップ20aは、従来のゲートキャップ(SiN層5)よりも60nmも薄い厚さとなっており、第2の実施形態のゲートキャップ20よりも10nm薄い厚さとなっている。
多層ゲートキャップ20aの酸化膜22上に、10nm厚のSiN層10a及びその上層の20nm厚のアモルファスシリコン層10bからなる多層ストッパ10が形成されている。多層ストッパ10のアモルファスシリコン層10b上に、SiO2 層8が形成されている。多層ストッパ10は、poly−Si層3、WSi層4及びSiN層21の側壁にも形成されている。
ここで、多層ゲートキャップ20aは、酸化物22のエッチング中に遅いエッチングレートをもつアモルファスシリコン層10bの直下に位置するため、第2の実施形態とは異なり、最上層の10nm厚のSiN層23が省略されている。
次に、以上のような半導体装置の製造方法について図10及び図11を用いて説明する。図10(a)に示すように、シリコン基板1表面のゲート酸化膜2上に、poly−Si層3、WSi層4、50nm厚のSiN層21及び50nm厚の酸化膜22が形成される。
また、図10(b)に示すように、例えばリソグラフィ法とRIE 法により、酸化膜22〜poly−Si層3の一部がゲート酸化膜を露出させるまで選択的にエッチングされ、ゲート電極が形成される。さらに、図示しない後酸化膜がWSi層4及びpoly−Si層3の側面に形成される。その後、イオン注入法により、基板1表面にドレイン(又はソース)拡散層6が形成される。
また、図11(a)に示すように、20nm厚のアモルファスシリコン層10b及び10nm厚のSiN層10aからなる多層ストッパ10が酸化膜22及びゲート酸化膜2上に堆積される。
以下、前述同様に、図11(b)及び図11(c)に示すように、多層ストッパ10上に、SiO2 層8及びレジスト層9が順次形成される。続いて、図11(d)に示すように、RIE 法により、レジスト層9間に露出していたSiO2 層8がエッチングされる。このとき、ゲート電極隅のアモルファスシリコン層10bがエッチングされ、その下のSiN層10aもエッチングされ、さらに下の酸化膜22が5nm程度エッチングされる。
次に、図11(e)に示すように、RIE 法により、コンタクトホール領域の底の多層ストッパ10のSiN層10aがエッチングされる。このSiN層10aのエッチング中、窒化物よりも2倍遅いエッチングレートをもつ酸化膜22がゲート隅で露出される。このため、ゲートキャップの総厚を従来に比べ60nmも薄くすることができる。また、このSiN層10aのエッチング中、ゲート電極隅の酸化膜22が50nm程度エッチングされ、50nm厚のSiN層21が残る。
以下、露出されたゲート酸化膜2及びレジスト層9が除去される。また、アニールにより、導電体のアモルファスシリコン層10bが酸化され、絶縁体にされる。
続いて、露出されたシリコン基板1の表面に接するようにドレイン(又はソース)電極が形成され、図9に示したように、DRAMに適用可能なMOS トランジスタが完成する。このMOS トランジスタは、前述同様に図4又は図5に示すように、DRAMの種類に応じて適宜、用いられる。
上述したように本実施形態によれば、第1及び第2の実施形態の構成を夫々有するので、第1及び第2の実施形態の効果を夫々得ることができる。すなわち、より一層、ゲートスタックを薄く形成できる。また、アスペクト比の一層の低減に伴い、より高集積化を図ることができる。なお、本発明はその要旨を逸脱しない範囲で種々変形して実施できる。
本発明の第1の実施形態に係る半導体装置の構成の一部を示す断面図 同実施形態における半導体装置の製造工程図 同実施形態における半導体装置の製造工程図 同実施形態のMOS トランジスタをDRAMに組み合わせた場合の断面構成を示す模式図 同実施形態のMOS トランジスタをDRAMに組み合わせた場合の断面構成を示す模式図 本発明の第2の実施形態に係る半導体装置の構成の一部を示す断面図 同実施形態における半導体装置の製造工程図 同実施形態における半導体装置の製造工程図 本発明の第3の実施形態に係る半導体装置の構成の一部を示す断面図 同実施形態における半導体装置の製造工程図 同実施形態における半導体装置の製造工程図 従来のSACを用いたDRAMの製造工程図 従来のSACを用いたDRAMの製造工程図
符号の説明
1…シリコン基板、2…ゲート酸化膜、3…poly−Si層、4…WSi層、5,10a,21,23…SiN層、6…ドレイン拡散層、6a…n型拡散層、8…SiO2 層、9…レジスト層、10…多層ストッパ、10b…アモルファスシリコン層、11…配線層、12…配線接続層、13,17…キャパシタ、14…プレート電極、15…トレンチ、16…埋込電極、18…n型ウェル層、20,20a…多層ゲートキャップ、22…酸化膜。

Claims (4)

  1. 基板と、
    前記基板上に選択的に形成されたゲート絶縁膜と、
    前記ゲート絶縁膜上に形成されたゲート電極と、
    前記ゲート電極上に形成され、複数の絶縁層からなるゲートキャップ層と、
    前記ゲートキャップ層上及び前記ゲート電極の側壁に形成された保護絶縁膜と、
    前記ゲート電極下に形成されるチャネル形成領域に接して前記基板の表面に形成された拡散層とを備えたことを特徴とする半導体装置。
  2. 基板と、
    前記基板上に選択的に形成されたゲート絶縁膜と、
    前記ゲート絶縁膜上に形成されたゲート電極と、
    前記ゲート電極上に形成され、複数の層からなるゲートキャップ層と、
    前記ゲートキャップ層上及び前記ゲート電極の側壁に形成され、複数の膜からなる保護絶縁膜と、
    前記ゲート電極下に形成されるチャネル形成領域に接して前記基板の表面に形成された拡散層とを備えたことを特徴とする半導体装置。
  3. 基板上に形成されたゲート絶縁膜上にゲート電極を形成する工程と、
    前記ゲート電極上に、複数の層からなるゲートキャップ層を形成する工程と、
    前記ゲートキャップ層をマスクにして前記基板の表面に拡散層を形成する工程と、
    前記ゲートキャップ層及び前記ゲート電極を覆うように保護絶縁膜を前記基板上に形成する工程と、
    前記保護絶縁膜上に層間絶縁層を形成する工程と、
    前記層間絶縁層及び前記保護絶縁膜をエッチングして前記ゲート電極に自己整合的に開口部を形成して、この開口部底部の前記基板の表面を露出する工程と、
    前記露出された前記基板の表面に接続された配線層を形成する工程とを含んでいることを特徴とする半導体装置の製造方法。
  4. 基板上に形成されたゲート絶縁膜上にゲート電極を形成する工程と、
    前記ゲート電極上に、複数の層からなるゲートキャップ層を形成する工程と、
    前記ゲートキャップ層をマスクにして前記基板の表面に拡散層を形成する工程と、
    前記ゲートキャップ層及び前記ゲート電極を覆うように、複数の膜からなる保護絶縁膜を前記基板上に形成する工程と、
    前記保護絶縁膜上に層間絶縁層を形成する工程と、
    前記層間絶縁層及び前記保護絶縁膜をエッチングして前記ゲート電極に自己整合的に開口部を形成して、この開口部底部の前記基板の表面を露出する工程と、
    前記露出された前記基板の表面に接続された配線層を形成する工程とを含んでいることを特徴とする半導体装置の製造方法。
JP2005011717A 1998-03-03 2005-01-19 半導体装置の製造方法 Expired - Lifetime JP4468187B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/033,899 US6369423B2 (en) 1998-03-03 1998-03-03 Semiconductor device with a thin gate stack having a plurality of insulating layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP10305547A Division JPH11284148A (ja) 1998-03-03 1998-10-27 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2005150769A true JP2005150769A (ja) 2005-06-09
JP4468187B2 JP4468187B2 (ja) 2010-05-26

Family

ID=21873104

Family Applications (2)

Application Number Title Priority Date Filing Date
JP10305547A Withdrawn JPH11284148A (ja) 1998-03-03 1998-10-27 半導体装置及びその製造方法
JP2005011717A Expired - Lifetime JP4468187B2 (ja) 1998-03-03 2005-01-19 半導体装置の製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP10305547A Withdrawn JPH11284148A (ja) 1998-03-03 1998-10-27 半導体装置及びその製造方法

Country Status (2)

Country Link
US (1) US6369423B2 (ja)
JP (2) JPH11284148A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703835B1 (ko) 2005-06-30 2007-04-06 주식회사 하이닉스반도체 폴리실리콘 공핍 현상을 방지한 듀얼 폴리실리콘 게이트를구비하는 반도체장치 및 그의 제조 방법
KR100717809B1 (ko) * 2005-06-30 2007-05-11 주식회사 하이닉스반도체 듀얼폴리실리콘게이트를 구비한 반도체소자의 제조 방법
JP2007189009A (ja) * 2006-01-12 2007-07-26 Elpida Memory Inc 半導体装置およびその製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114522A (ja) * 1998-10-08 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
US6501141B1 (en) * 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
KR100683852B1 (ko) * 2004-07-02 2007-02-15 삼성전자주식회사 반도체 소자의 마스크롬 소자 및 그 형성 방법
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
JP5719648B2 (ja) * 2011-03-14 2015-05-20 東京エレクトロン株式会社 エッチング方法、およびエッチング装置
US8748252B1 (en) 2012-11-26 2014-06-10 International Business Machines Corporation Replacement metal gate transistors using bi-layer hardmask
KR102317651B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9837264B2 (en) 2015-07-16 2017-12-05 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US9837351B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Avoiding gate metal via shorting to source or drain contacts

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2577342B2 (ja) 1985-03-30 1997-01-29 株式会社東芝 半導体装置およびその製造方法
US5304829A (en) 1989-01-17 1994-04-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor device
US5258645A (en) * 1990-03-09 1993-11-02 Fujitsu Limited Semiconductor device having MOS transistor and a sidewall with a double insulator layer structure
US5164333A (en) 1990-06-19 1992-11-17 Siemens Aktiengesellschaft Method for manufacturing a multi-layer gate electrode for a mos transistor
US5171699A (en) * 1990-10-03 1992-12-15 Texas Instruments Incorporated Vertical DMOS transistor structure built in an N-well CMOS-based BiCMOS process and method of fabrication
US5168072A (en) 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
JPH04365377A (ja) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol 半導体装置
US5232850A (en) * 1991-07-19 1993-08-03 The Penn State Research Foundation Predatory Pseudomonas strain as a control of bacterial and fungal plant pathogens
US5506440A (en) 1991-08-30 1996-04-09 Sgs-Thomson Microelectronics, Inc. Poly-buffered LOCOS process
JP3211377B2 (ja) 1992-06-17 2001-09-25 ソニー株式会社 半導体装置の製造方法
JP3113075B2 (ja) * 1992-07-08 2000-11-27 株式会社東芝 半導体装置およびその製造方法
JP2682403B2 (ja) 1993-10-29 1997-11-26 日本電気株式会社 半導体装置の製造方法
US5364804A (en) * 1993-11-03 1994-11-15 Taiwan Semiconductor Manufacturing Company Nitride cap sidewall oxide protection from BOE etch
US6057604A (en) * 1993-12-17 2000-05-02 Stmicroelectronics, Inc. Integrated circuit contact structure having gate electrode protection for self-aligned contacts with zero enclosure
US5438006A (en) 1994-01-03 1995-08-01 At&T Corp. Method of fabricating gate stack having a reduced height
JP2765478B2 (ja) * 1994-03-30 1998-06-18 日本電気株式会社 半導体装置およびその製造方法
US5702979A (en) * 1994-05-31 1997-12-30 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5567638A (en) 1995-06-14 1996-10-22 National Science Council Method for suppressing boron penetration in PMOS with nitridized polysilicon gate
US5616933A (en) 1995-10-16 1997-04-01 Sony Corporation Nitride encapsulated thin film transistor fabrication technique
JP3402022B2 (ja) * 1995-11-07 2003-04-28 三菱電機株式会社 半導体装置の製造方法
US5719071A (en) * 1995-12-22 1998-02-17 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad sturcture in an integrated circuit
US5631484A (en) * 1995-12-26 1997-05-20 Motorola, Inc. Method of manufacturing a semiconductor device and termination structure
US6018184A (en) * 1998-01-22 2000-01-25 Micron Technology, Inc. Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703835B1 (ko) 2005-06-30 2007-04-06 주식회사 하이닉스반도체 폴리실리콘 공핍 현상을 방지한 듀얼 폴리실리콘 게이트를구비하는 반도체장치 및 그의 제조 방법
KR100717809B1 (ko) * 2005-06-30 2007-05-11 주식회사 하이닉스반도체 듀얼폴리실리콘게이트를 구비한 반도체소자의 제조 방법
JP2007189009A (ja) * 2006-01-12 2007-07-26 Elpida Memory Inc 半導体装置およびその製造方法
JP4698427B2 (ja) * 2006-01-12 2011-06-08 エルピーダメモリ株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20020014657A1 (en) 2002-02-07
US6369423B2 (en) 2002-04-09
JP4468187B2 (ja) 2010-05-26
JPH11284148A (ja) 1999-10-15

Similar Documents

Publication Publication Date Title
JP4468187B2 (ja) 半導体装置の製造方法
US6900492B2 (en) Integrated circuit device with P-type gate memory cell having pedestal contact plug and peripheral circuit
KR0155886B1 (ko) 고집적 dram 셀의 제조방법
US7462899B2 (en) Semiconductor memory device having local etch stopper and method of manufacturing the same
US6420228B1 (en) Method for the production of a DRAM cell configuration
US8350321B2 (en) Semiconductor device having saddle fin transistor and manufacturing method of the same
JP2006286720A (ja) 半導体装置およびその製造方法
US6777341B2 (en) Method of forming a self-aligned contact, and method of fabricating a semiconductor device having a self-aligned contact
KR100275551B1 (ko) 반도체 메모리 장치의 콘택 형성 방법
US6680511B2 (en) Integrated circuit devices providing improved short prevention
JP4646595B2 (ja) 半導体記憶装置
US6251721B1 (en) Semiconductor device and method of manufacturing the same
US20080211018A1 (en) Semiconductor device and method of manufacturing the same
TWI769797B (zh) 動態隨機存取記憶體及其製造法方法
KR100268422B1 (ko) 반도체 장치의 콘택 패드 및 그의 형성 방법
JP3190659B2 (ja) 半導体メモリ及びその製造方法
US6765251B2 (en) Semiconductor device having interconnection structure
JP2005203615A (ja) 半導体記憶装置、半導体装置およびそれらの製造方法
JPH04348070A (ja) 半導体装置及びその製造方法
JPH1197529A (ja) 半導体装置の製造方法
JP4249691B2 (ja) 半導体装置の製造方法
JPH11177052A (ja) 半導体装置とその製造方法
JPH06338596A (ja) 半導体装置の製造方法
JPH07326678A (ja) 埋込み配線を有する半導体装置とその製造方法
JP2010016249A (ja) 半導体装置の製造方法、及び半導体装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100126

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100224

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140305

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313115

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term