JP2005129808A - 半導体装置の配線構造及びその製造方法 - Google Patents

半導体装置の配線構造及びその製造方法 Download PDF

Info

Publication number
JP2005129808A
JP2005129808A JP2003365238A JP2003365238A JP2005129808A JP 2005129808 A JP2005129808 A JP 2005129808A JP 2003365238 A JP2003365238 A JP 2003365238A JP 2003365238 A JP2003365238 A JP 2003365238A JP 2005129808 A JP2005129808 A JP 2005129808A
Authority
JP
Japan
Prior art keywords
film
wiring
cap
semiconductor device
wiring structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003365238A
Other languages
English (en)
Other versions
JP3647853B1 (ja
Inventor
Kazuhide Abe
一英 阿部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2003365238A priority Critical patent/JP3647853B1/ja
Priority to US10/760,457 priority patent/US6969911B2/en
Application granted granted Critical
Publication of JP3647853B1 publication Critical patent/JP3647853B1/ja
Publication of JP2005129808A publication Critical patent/JP2005129808A/ja
Priority to US11/230,525 priority patent/US7211505B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】 半導体装置の配線構造において、配線材料の拡散を防止することにより配線の絶縁耐性を向上させることにある。
【解決手段】 複数の溝部102を有する第1絶縁膜101と、溝部102間の第1絶縁膜101の上面よりも突出するように形成された複数の配線膜105と、配線膜105の底面に形成されるとともに配線膜105の側面において上面より上方まで形成されている複数のバリア膜103と、配線膜105の上面に形成された金属膜からなる第1キャップ膜106と、少なくとも第1キャップ膜106及びバリア膜103の側面に形成されている第2キャップ膜107とを備えることを特徴とする半導体装置の配線構造。
【選択図】 図11

Description

本発明は、半導体装置の配線構造及びその製造方法に関する。
半導体装置の微細化に伴い、RC遅延(抵抗値及び容量値による信号遅延)の影響が大きくなり、半導体装置の高速化を妨げる重要な原因となっている。配線の抵抗値及び配線間の容量を低減するために、配線幅0.25μm以下の半導体装置では、アルミニウム合金に代わって銅Cuを用いた配線が導入されている。Cuを用いた配線の形成では、一般的にドライエッチングが困難なため、絶縁膜に形成された配線溝にCuを堆積させた後に平坦化するダマシン法が用いられている。
ダマシン法によるCu配線の形成では、第1絶縁膜に配線溝を形成し、配線溝にCu拡散防止用のバリア膜、Cu配線膜を順に堆積した後、表面を化学的機械的研磨(CMP: Chemical Mechanical Polishing)法により平坦化し、Cu配線膜及びバリア膜を配線溝内のみに残す。続いて、窒化シリコンSixy等からなるキャップ膜をCu配線膜上に堆積する。Cuは容易に酸化され易く、Cu配線膜上に酸化シリコン膜を直接堆積できないため、窒化シリコン膜等でCu配線膜を覆う必要があるからである。しかしながら、キャップ膜にSixy等の絶縁膜を使用した場合には、絶縁膜であるキャップ膜と金属膜であるCu配線膜との密着性が悪く、キャップ膜とCu配線膜との境界面においてエレクトロマイグレーションが起こりやすい。そのため、Cu配線膜を絶縁膜で覆う代わりに、選択的にCoWP、CoWB等の金属膜で被覆する方法が提案されている。
Cu配線膜を金属膜で被覆する配線構造は、例えば、特許文献1に記載されている。この配線構造では、第1絶縁膜に形成された配線溝にバリア膜及びCu配線膜を埋め込んだ後、コバルトCo又はニッケルNiを主成分とする導電膜(キャップ膜)を無電解メッキによりCu配線膜上に選択的に形成し、このキャップ膜の上にCoSiやNiSi等を無電解メッキにより成膜し、シリサイド化して酸化防止膜を形成している。
また、エレクトロマイグレーションによるCuイオンの拡散やCuヒロックの拡大を抑制する配線構造は、特許文献2に記載されている。この配線構造では、第1絶縁膜の配線溝にバリア膜を介してCu配線膜が埋め込まれているが、Cu配線膜及びバリア膜が配線溝よりも突出して形成されている。また、配線溝から突出したCu配線膜及びバリア膜を覆うように炭化シリコンSi等の絶縁膜からなるキャップ膜が全面に形成されている。この配線構造では、配線材料のリーク源となるCu配線膜の上面縁部と、電流リークのパスとなる第1絶縁膜の界面とを上下方向に分離している。
特開2003−179000号公報(第5頁、第1図) 特開2002−329780号公報(第15頁、第20図)
特許文献1に記載の配線構造では、配線材料のリーク源であるCu配線膜の上面縁部が、キャップ膜とバリア膜との界面を介して、電流リークのパスとなる第1絶縁膜の界面に接近しており、Cuイオン等がキャップ膜とバリア膜との界面を介して電流リークのパスに到達し易く、隣接するCu配線膜にリーク電流が流れる虞がある。
特許文献2に記載の配線構造では、配線材料のリーク源であるCu配線膜の上面縁部と、電流リークのパスとなる第1絶縁膜の界面とが上下方向に分離されているが、Cu配線膜上に形成されたキャップ膜が絶縁膜であるために、Cu配線膜とキャップ膜との界面での密着性、バリア膜とキャップ膜との界面での密着性が悪い。Cu配線膜とキャップ膜との界面での密着性が悪いことにより、Cu配線膜の上面においてエレクトロマイグレーションが起こりやすく、エレクトロマイグレーションの影響が大きい場合には、Cu配線膜の上面縁部から、密着性の悪いバリア膜とキャップ膜との界面を通って、CuイオンやCuヒロックが電流リークのパスとなる第1絶縁膜の界面に到達してしまう虞があり、リーク電流の増大や配線間の短絡の虞がある。
本発明の目的は、半導体装置の配線構造において、配線材料の拡散を防止することにより配線の絶縁耐性を向上させることにある。
本発明に係る半導体装置の配線構造は、第1絶縁膜と、複数の配線膜と、複数のバリア膜と、第1キャップ膜と、第2キャップ膜とを備えている。第1絶縁膜には、複数の溝部が形成されている。第1絶縁膜は、隣接する溝部の間に水平方向の界面を有する。配線膜は、第1絶縁膜の溝部ごとに第1絶縁膜の界面よりも突出して形成されている。バリア膜は、配線膜の底面に形成されるとともに、配線膜の側面において界面より上方まで形成されている。第1キャップ膜は、配線膜の上面に選択的に形成されている。第2キャップ膜は、少なくとも第1キャップ膜及びバリア膜の側面に形成されている。
本発明に係る半導体装置の配線構造では、配線材料のリーク源となる配線膜の上面縁部と、配線材料によるリーク電流のパスとなる第1絶縁膜の界面とが上下方向に離れているので、配線材料がリークしたとしてもリーク電流のパスとなる第1絶縁膜の界面に到達し難い。また、金属膜である第1キャップ膜と配線膜との密着性が高く、配線膜上面においてエレクトロマイグレーションの発生が抑制され、配線材料のリーク自体を抑制することもできる。この結果、配線間のリーク電流を抑制するとともに、配線間の電気的な短絡を防止し、配線の絶縁耐性を向上させることができる。
(1)第1実施形態
〔構造〕
図9は、本発明の第1実施形態に係る配線構造1の断面図である。この配線構造1は、絶縁膜101と、複数のバリア膜103と、銅Cu又は銅合金からなる複数の配線膜105と、Co、Co、CoMoなどのコバルトCoを主成分とする金属膜またはNi、NiMoなどのニッケルNiを主成分とする金属膜からなる第1のキャップ膜106と、Si、Si、Siを主成分とする絶縁体からなる第2のキャップ膜107とを備えている。
絶縁膜101の表面には複数の溝部102(配線溝)が形成されている。また、絶縁膜101は、隣接する溝部102の間に水平方向の上面としての界面101aを有している。配線膜105は、絶縁膜101の溝部102ごとに形成されている。配線膜105は、絶縁膜101の界面101aよりも凸状に突出して形成されており、配線膜105の界面105aは界面101aよりも上方に位置している。従って、配線膜105の上面105aの縁部と界面101aとは上下方向に分離されている。バリア膜103は、配線膜105の底面に形成されるとともに、配線膜105の側面において界面101aより上方まで形成されている。キャップ膜106は、配線膜105の上面105aに選択的に形成されている。キャップ膜107は、キャップ膜106及びバリア膜103の上面及び側面を覆い、全面に形成されている。また、キャップ膜107上には、第2の絶縁膜108が形成されている。
〔製造方法〕
以下、配線構造1の製造方法を図1から図9を参照して説明する。
図1に示すように、半導体素子が形成された基板(図示せず)の上に、CVD法により、酸化シリコンSiOからなる膜厚500nmの絶縁膜101を形成し、ホトリソグラフィー、エッチングにより、配線形成予定領域(配線パターンを形成する領域)に複数の溝部102を形成する。溝部102は、幅200nm、深さ350nmであり、隣接する溝部102の間隔は200nmとする。溝部102のエッチングは、例えば、マグネトロン型反応性イオンエッチング(RIE: Reactive Ion Etching)装置を用いる。なお、絶縁膜101のエッチングは、マグネトロン型カソードカップルエッチング装置、二周波励起容量結合プラズマエッチング装置、ICP(Inductive coupled plasma)型エッチング装置から適宜選択されたエッチング装置を好適に用いることができる。絶縁膜101のエッチングに使用するエッチングガスは、オクタフルオロシクロブタンCと一酸化炭素COと酸素OとアルゴンArとを使用した。エッチングの条件は、例えば、ガス流量C/CO/O/Ar=14/50/5/30sccm、RF電力1.5kW、チャンバー圧力50mTorrとする。
次に、図2に示すように、絶縁膜101に窒化タンタルTaNからなる膜厚50nmのバリア膜103を形成する。具体的には、絶縁膜101の溝部102の内面(底面及び側面)と、絶縁膜101の表面とにバリア膜103を形成する。バリア膜103の形成では、例えば、ターゲットにTa、プロセスガスにAr/N混合ガスを用い、雰囲気圧力3mTorr、成膜温度150℃、DC電力6kWの条件で、指向性の高いスパッタリングにより窒化タンタルTaNを堆積する。なお、バリア膜103は、窒化タンタルTaNに限られるものではなく、Cu拡散を防止する同様な機能を有する材料、例えばTa、TaSi等のタンタルを主成分とする金属膜、Ti、TiSi等のチタンを主成分とする金属膜またはW、WSi等のタングステンを主成分とする金属膜等の導電材料で形成しても良い。
次に、図3に示すように、バリア膜103の表面にメッキ膜の種となる膜厚150nmのCuシード膜104を形成する。Cuシード膜104の形成では、例えば、ターゲットにCu、プロセスガスにArを用い、雰囲気の圧力を2mTorr、成膜温度を30℃、DC電力を12kWの条件で、指向性の高いスパッタリングによりCuを堆積する。なお、Cuシード膜104は、CuまたはCuを主成分とする合金であっても良い。
次に、図4に示すように、Cuシード膜104の表面に電界メッキ法によりCuからなる配線膜105を堆積する。配線膜105は、溝部102を埋め尽くす膜厚以上だけ堆積すれば良いが、ここでは、配線膜105を絶縁膜101の表面よりも数百nm高い位置まで堆積する。電界メッキには、例えば、Cu成分を析出させる元になる硫酸銅CuSO・5HO、電導性を高めるための硫酸HSO、高電流密度部の光沢性や溶解性アノード(例えば、リン含有銅)の溶解を促進するための塩素Cl、埋込性を向上させる添加剤などを含むメッキ液を使用する。電界メッキは、例えば、上記メッキ液を用いて、液温25℃、定電流の条件で、電流密度を2段階に切り換えて行う。電流密度の切換えは、例えば、第1段階では低電流密度0.2A/dmとし、第2段階では高電流密度2A/dmとする。このように電流密度を2段階に変化させる理由は、高電流密度のみで電界メッキを実行すると、微細パターンである溝部102の入り口でメッキ膜(配線膜105)が閉じてしまいボイドが形成される虞がある一方、低電流密度のみで電界メッキを実行すると、配線膜105の堆積速度が遅く、溝部102の埋め込みに時間を要するからである。以下の説明では、Cuシード膜104も含めて配線膜105と称す。
配線膜105を電界メッキした後、炉内にて例えば温度100〜350℃、窒素N及び水素Hの混合雰囲気中で1〜300分間の熱処理を行う。或いは、基板をホットプレートに戴載して熱処理しても良い。この熱処理により、配線膜105の微細なCu結晶粒の成長を促すとともに、膜の硬度、結晶性、比抵抗等の安定化を図る。
・ 次に、図5に示すように、配線膜105、バリア膜103をCMP法により研磨し、配線膜105及びバリア膜103を平坦化する。より詳細には、絶縁膜101が露出するまで配線膜105、バリア膜103を除去して、配線膜105及びバリア膜103を溝部102内にのみ残す。この結果、配線膜105及びバリア膜103の上面が絶縁膜101の表面と一致するようになる。
このCMPによる研磨は、例えば2段階の研磨を含んでいる。第1段階では、バリア膜103をストッパーにして、絶縁膜101の表面にあるバリア膜103の表面が露出するまで配線膜105を研磨、除去する。第1段階では、研磨粒子としてシリカを含む溶液に銅錯体形成促進剤として過酸化水素Hを加えたものをスラリーとして使用する。また、研磨パッドには、不織布と独立発砲体の積層構造を用い、スラリー流量200ml/min、研磨荷重2psi、キャリアヘッド回転数120rpm、テーブル回転数120rpmとする。続いて第2段階では、絶縁膜101をストッパーにして、絶縁膜101の表面にあるバリア膜103を除去する。第2段階でも、研磨粒子としてシリカを含む溶液に過酸化水素Hを加えたものをスラリーとして使用する。また、研磨パッドには、不織布と独立発泡体の積層構造を用い、スラリー流量200ml/min、研磨荷重2psi、キャリアヘッド回転数80rpm、テーブル回転数80rpmとする。
なお、配線膜105及びバリア膜103の平坦化では、理想的には、配線膜105及びバリア膜103の上面が一致することが好ましいが、実際には、バリア膜103を除去する際(第2段階の研磨)に、図12に示すように溝102内の配線膜105がバリア膜103よりも研磨されるディッシングが発生するため、配線膜105の上面105aの中央部がバリア膜103の上面に対して5nm〜10nmだけ窪む。この場合にも、CuイオンやCuヒロックのリーク源となる配線膜105の上面105aは、後述する絶縁膜101の薄膜化によって絶縁膜101の界面101aよりも突出する。
次に、図6に示すように、配線膜105上に第1のキャップ膜106を形成する。キャップ膜106は、例えば、膜厚20nmのコバルトタングステンリンCoを無電解メッキ法で堆積する。無電解メッキの手順の一例は、以下の通りである。まず、配線膜105の表面に触媒活性層を形成する前処理を行う。前処理では、配線膜105が露出したウエハ表面を塩化パラジウムPdCl溶液に浸漬することにより、配線膜105の最表面(上面)においてCu原子をPd原子に置換させ、触媒活性層を形成する。PdはCuよりもイオン化傾向が小さいため、配線膜105の表面にはPdの置換メッキが起こる。触媒活性層を形成した後、Coを無電解メッキにより成膜する。Coは、触媒活性層のPdが存在する場所のみに成膜されるが、Pdによる触媒活性層が配線膜105の上面にしか形成されていないため、配線膜105の上面のみにCo膜(キャップ膜106)が形成される。
なお、キャップ膜106をCoからなる金属膜としたが、キャップ膜106をCo、Co、CoMoなどのCoを主成分とする金属膜、または、Ni、NiMoなどのニッケルNiを主成分とする金属膜としてもよい。
次に、図7に示すように、キャップ膜106をマスクとして絶縁膜101をエッチングして薄膜化し、バリア膜103及び配線膜105を絶縁膜101の界面101aよりも凸状に突出させる。これにより、配線膜105の上面105aの縁部と界面101aとは上下方向に分離される。絶縁膜101の薄膜化は、例えば絶縁膜101を膜厚20nm除去することにより行う。絶縁膜101のエッチングに使用するエッチングガスは、CとCOとOとArとを使用した。エッチングの条件は、例えば、ガス流量C/CO/O/Ar=14/50/5/30sccm、チャンバー圧力50mTorr、RF電力1.5kWとする。なお、絶縁膜101のエッチングは、ドライエッチングに限られず、フッ酸(0.3%HF等)薬液を使用したウェットエッチングを適用することもできる。
次に、図8に示すように、膜厚50nmのSiからなる第2のキャップ膜107をCVD法により堆積する。なお、キャップ膜107の材料は、Siに限られず、Si、Si、または、Siを主成分とする絶縁膜であっても良い。次に、図9に示すように、CVD法により、酸化シリコンSiOからなる膜厚700nmの絶縁膜108を堆積する。
〔作用効果〕
本実施形態に係る配線構造1では、CuイオンやCuヒロックのリーク源となる配線膜105の上面105aの縁部と、リーク電流のパスとなる絶縁膜101の界面101aとが上下方向に離れているため、配線膜105の上面105aの縁部からCuイオン又はCuヒロックが絶縁膜の界面101aに到達し難い。さらに、配線膜105の上面105aを金属膜からなるキャップ膜106で覆っているので、配線膜105とキャップ膜106との界面、即ち配線膜105の上面105aでの密着性が高く、配線膜105の上面105aにおいてエレクトロマイグレーションを抑制することができる。また、配線膜105及びバリア膜103の側面が絶縁効果の大きい第2のキャップ膜107で覆われているので、隣接する配線間でのリーク電流を抑制し、配線間での絶縁耐性を高めることができる。
また、Co等からなるキャップ膜106は、バリア膜103上には成膜が困難であり、バリア膜103との境界である配線膜105の上面105の縁部では、酸素が進入する虞があるが、配線膜105の上面105の縁部を第2のキャップ膜107で覆うことによって、配線膜105が上面105の縁部から酸化されることを防止できる。
また、図5に示す工程において配線膜105及びバリア膜103をCMP法により研磨して平坦化する際には、図12に示すように、配線膜105の上面105aの中央部がバリア膜103の上面よりも5nm〜10nm窪むことがある。このような場合であっても、CuイオンやCuヒロックのリーク源となる配線膜105の上面105aは、リーク電流のパスとなる絶縁膜101の界面101aよりも上方に突出し、配線膜105の上面105aの縁部と界面101aとが上下方向に分離されているので、配線膜105の上面105aからCuイオン又はCuヒロックが絶縁膜101の界面101aに到達し難い。
(2)第2実施形態
〔構成〕
図11は、本発明の第2実施形態に係る配線構造1の断面図である。この配線構造1は、第1実施形態に係る配線構造1において、キャップ膜107が、溝部102ごとに分離され、キャップ膜106及びバリア膜103の側面に形成されている。より詳細には、配線構造1は、絶縁膜101と、複数のバリア膜103と、銅Cu又は銅合金からなる複数の配線膜105と、Co、Co、CoMoなどのコバルトCoを主成分とする金属膜またはNi、NiMoなどのニッケルNiを主成分とする金属膜からなる第1のキャップ膜106と、Si、Si、Siを主成分とする絶縁体からなる第2のキャップ膜107とを備えている。
絶縁膜101の表面には複数の溝部102(配線溝)が形成されている。また、絶縁膜101は、隣接する溝部102の間に水平方向の上面としての界面101aを有している。配線膜105は、絶縁膜101の溝部102ごとに形成されている。配線膜105は、絶縁膜101の界面101aよりも凸状に突出して形成されており、配線膜105の界面105aは界面101aよりも上方に位置している。従って、配線膜105の上面105aの縁部と界面101aとは上下方向に分離されている。バリア膜103は、配線膜105の底面に形成されるとともに、配線膜105の側面において界面101aより上方まで形成されている。キャップ膜106は、配線膜105の上面105aに選択的に形成されている。キャップ膜107は、キャップ膜106の上面及び絶縁膜101上で取り除かれ、キャップ膜106及びバリア膜103の側面のみに形成されている。また、キャップ膜107上には、第2の絶縁膜108が形成されている。
〔製造方法〕
図11乃至図12は、第2実施形態に係る配線構造1の製造方法を説明する図である。
第1実施形態における図1乃至図8の工程が終了した後、図10に示すように、窒化シリコンSiからなる第2のキャップ膜107を絶縁膜101の界面101aが露出するまでエッチバックする。これにより、第1のキャップ膜106の上面にある第2のキャップ膜107と、絶縁膜第101の界面101a上の第2のキャップ膜107とが除去されて、第2のキャップ膜107が溝部102ごとに分離されるとともに、第1のキャップ膜106及びバリア膜103の側面に第2のキャップ膜107が残る。このとき、第1のキャップ膜106の上面が露出されるが、第1のキャップ膜106は、上述したようにコバルトCoを主成分とする金属膜またはニッケルNiを主成分とする金属膜であり、これらの金属膜は酸化され難い。エッチングの条件は、例えば、ガス流量CHF/O/Ar=30/2/150sccm、チャンバー圧力30mTorr、RF電力800Wである。次に、図11に示すように、CVD法により、酸化シリコンSiOからなる膜厚700nmの絶縁膜108を堆積する。
なお、本実施形態のようにキャップ膜107をエッチバックによって溝部102ごとに分離する場合には、キャップ膜107をTa、Ta,TaSi等のタンタルを主成分とする金属膜、Ti、TiSi等のチタンを主成分とする金属膜またはWN、WSi等のタングステンを主成分とする金属膜等の導電材料で形成しても良い。このように、キャップ膜107を金属を含む導電材料で形成すれば、第2のキャップ膜107と第1のキャップ膜106及びバリア膜103との密着性が高まり、さらにCuの拡散(Cuイオンの拡散及びCuヒロックの拡大)を抑制する効果が高まる。
〔作用効果〕
本実施形態でも、CuイオンやCuヒロックのリーク源となる配線膜105の上面105aの縁部と、リーク電流のパスとなる絶縁膜101の界面101aとが上下方向に離れているため、配線膜105の上面105aの縁部からCuイオン又はCuヒロックが絶縁膜の界面101aに到達し難い。さらに、配線膜105の上面105aを金属膜からなるキャップ膜106で覆っているので、配線膜105とキャップ膜106との界面、即ち配線膜105の上面105aでの密着性が高く、配線膜105の上面105aにおいてエレクトロマイグレーションを抑制することができる。また、配線膜105及びバリア膜103の側面が絶縁効果の大きい第2のキャップ膜107で覆われているので、隣接する配線間でのリーク電流を抑制し、配線間での絶縁耐性を高めることができる。
比誘電率の高い材料を用いて第2のキャップ膜107を全面に形成する場合には、配線間容量の増大が問題となる。特に、多層配線構造においては、層間での配線間容量が増大し、信号遅延の要因となる虞がある。これに対して、本実施形態のように第2のキャップ膜107を溝部102ごとに分離すれば、層間の絶縁材料であるキャップ膜107及び絶縁膜108全体での比誘電率、即ち実効比誘電率を低減することができるので、層間での配線間容量を抑制することができる。特に、キャップ膜107を比誘電率7.0のSiで形成する場合には、比誘電率4.2の酸化シリコンSiOで形成する絶縁膜108よりも大幅に大きいため、比誘電率の高いキャップ膜107の体積を減少させれば、層間の配線間容量を大幅に低減することができる。
また、配線間容量の低減するためには、絶縁膜108の材料として、低比誘電率のフッ素ドープのSiO(FSG膜、比誘電率3.5程度)などを使用する場合があるが、絶縁膜108の比誘電率が低下するほどキャップ膜が実効誘電率に与える影響が大きくなるので、本実施形態で示したようにキャップ膜を溝部102ごとに分離する構成は実効誘電率の低減に有効である。
また、Co等からなるキャップ膜106は、バリア膜103上には成膜が困難であり、バリア膜103との境界である配線膜105の上面105の縁部では、酸素が進入する虞があるが、配線膜105の上面105の縁部を第2のキャップ膜107で覆うことによって、配線膜105が上面105の縁部から酸化されることを防止できる。
第1実施形態に係る配線構造の製造方法を説明する図(その1)。 第1実施形態に係る配線構造の製造方法を説明する図(その2)。 第1実施形態に係る配線構造の製造方法を説明する図(その3)。 第1実施形態に係る配線構造の製造方法を説明する図(その4)。 第1実施形態に係る配線構造の製造方法を説明する図(その5)。 第1実施形態に係る配線構造の製造方法を説明する図(その6)。 第1実施形態に係る配線構造の製造方法を説明する図(その7)。 第1実施形態に係る配線構造の製造方法を説明する図(その8)。 第1実施形態に係る配線構造の製造方法を説明する図(その9)。 第2実施形態に係る配線構造の製造方法を説明する図(その1)。 第2実施形態に係る配線構造の製造方法を説明する図(その2)。 ディッシングによる配線膜105の形状を示す図。
符号の説明
101 第1の絶縁膜
101a 第1の絶縁膜の界面
102 溝部
103 バリア膜
104 Cuシード膜
105 配線膜
105a 配線膜の上面
106 第1のキャップ膜
107 第2のキャップ膜
108 第2の絶縁膜

Claims (31)

  1. 複数の溝部を有する第1絶縁膜と、
    前記溝部間の前記第1絶縁膜の上面よりも突出するように形成された複数の配線膜と、
    前記配線膜の底面に形成されるとともに、前記配線膜の側面において前記上面より上方まで形成されている複数のバリア膜と、
    前記配線膜の上面に形成された金属膜からなる第1キャップ膜と、
    少なくとも前記第1キャップ膜及び前記バリア膜の側面に形成されている第2キャップ膜と、を備えることを特徴とする半導体装置の配線構造。
  2. 前記第1キャップ膜は、無電界メッキによって形成されていることを特徴とする、請求項1に記載の半導体装置の配線構造。
  3. 前記第1キャップ膜は、CoまたはNiを主成分とする金属膜であることを特徴とする、請求項2に記載の半導体装置の配線構造。
  4. 前記配線膜は、CuまたはCuを主成分とする金属膜であることを特徴とする、請求項3に記載の半導体装置の配線構造。
  5. 前記第2キャップ膜は、前記第1キャップ膜の上面から前記第1絶縁膜の前記上面に渡って全面に形成されていることを特徴とする、請求項1に記載の半導体装置の配線構造。
  6. 前記第2キャップ膜は、Si、Si、SiまたはSiを主成分とする絶縁膜であることを特徴とする、請求項5に記載の半導体装置の配線構造。
  7. 前記第2キャップ膜は、前記溝部ごとに分離されて形成されていることを特徴とする、請求項1に記載の半導体装置の配線構造。
  8. 前記第2キャップ膜は、前記第1キャップ膜及び前記バリア膜の側面にのみ形成されていることを特徴とする、請求項7に記載の半導体装置の配線構造。
  9. 前記第2キャップ膜は、Si、Si、Si又はSiを主成分とする絶縁膜であることを特徴とする、請求項8に記載の半導体装置の配線構造。
  10. 前記第2キャップ膜は、Ta、TaまたはTaSiからなる金属膜であることを特徴とする、請求項8に記載の半導体装置の配線構造。
  11. 前記第2キャップ膜は、TiまたはTiSiからなる金属膜であることを特徴とする、請求項8に記載の半導体装置の配線構造。
  12. 前記第2キャップ膜は、WまたはWSiからなる金属膜であることを特徴とする、請求項8に記載の半導体装置の配線構造。
  13. 前記バリア膜は、Ta、TaまたはTaSiからなる金属膜であることを特徴とする、請求項1に記載の半導体装置の配線構造。
  14. 前記バリア膜は、TiNyまたはTiSiからなる金属膜であることを特徴とする、請求項1に記載の半導体装置の配線構造。
  15. 前記バリア膜は、WまたはWSiからなる金属膜であることを特徴とする、請求項1に記載の半導体装置の配線構造。
  16. 前記配線膜は前記バリア膜よりも窪んでいることを特徴とする、請求項1に記載の半導体装置の配線構造。
  17. 第1絶縁膜上に複数の溝部を形成するステップと、
    前記第1絶縁膜上にバリア膜を形成するステップと、
    前記溝部内の前記バリア膜上に配線膜を形成するステップと、
    前記溝部間の前記第1絶縁膜が露出するように、前記配線膜及び前記バリア膜を除去するステップと、
    前記配線膜上に金属膜からなる第1のキャップ膜を形成するステップと、
    前記第1絶縁膜を薄膜化して、前記配線膜及び前記バリア膜を前記第1絶縁膜の上面よりも突出させるステップと、
    第2のキャップ膜を全面に形成するステップと、
    を含むことを特徴とする半導体装置の配線構造の製造方法。
  18. 前記第1キャップ膜を無電界メッキによって形成することを特徴とする、請求項17に記載の半導体装置の配線構造の製造方法。
  19. 前記第1キャップ膜は、CoまたはNiを主成分とする金属膜であることを特徴とする、請求項18に記載の半導体装置の配線構造の製造方法。
  20. 前記配線膜は、CuまたはCuを主成分とする金属膜であることを特徴とする、請求項19に記載の半導体装置の配線構造の製造方法。
  21. 前記第2キャップ膜をエッチバックすることにより、前記第2キャップ膜を前記溝部ごとに分離するステップをさらに含むことを特徴とする、請求項17に記載の半導体装置の配線構造の製造方法。
  22. 前記第1キャップ膜及び前記バリア膜の側面にのみ前記第2キャップ膜を残すことを特徴とする、請求項21に記載の半導体装置の配線構造の製造方法。
  23. 前記第2キャップ膜は、Si、Si、Si又はSiを主成分とする絶縁膜であることを特徴とする、請求項22に記載の半導体装置の配線構造の製造方法。
  24. 前記第2キャップ膜は、Ta、TaまたはTaSiからなる金属膜であることを特徴とする、請求項22に記載の半導体装置の配線構造の製造方法。
  25. 前記第2キャップ膜は、TiまたはTiSiからなる金属膜であることを特徴とする、請求項22に記載の半導体装置の配線構造の製造方法。
  26. 前記第2キャップ膜は、WまたはWSiからなる金属膜であることを特徴とする、請求項22に記載の半導体装置の配線構造の製造方法。
  27. 前記配線膜及び前記バリア膜を除去するステップは、
    前記バリア膜をストッパーとして前記配線膜を研磨するステップと、
    前記第1絶縁膜をストッパーとして前記配線膜及び前記バリア膜を研磨するステップと、を含むことを特徴とする請求項17に記載の半導体装置の配線構造の製造方法。
  28. 前記配線膜及び前記バリア膜を研磨するステップでは、前記配線膜の上面が前記バリア膜の上面よりも窪むように研磨することを特徴とする、請求項27に記載の半導体装置の配線構造の製造方法。
  29. 前記バリア膜は、Ta、TaまたはTaSiからなる金属膜であることを特徴とする、請求項27に記載の半導体装置の配線構造の製造方法。
  30. 前記バリア膜は、TiまたはTiSiからなる金属膜であることを特徴とする、請求項27に記載の半導体装置の配線構造の製造方法。
  31. 前記バリア膜は、WまたはWSiからなる金属膜であることを特徴とする、請求項27に記載の半導体装置の配線構造の製造方法。
JP2003365238A 2003-10-24 2003-10-24 半導体装置の配線構造及びその製造方法 Expired - Fee Related JP3647853B1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2003365238A JP3647853B1 (ja) 2003-10-24 2003-10-24 半導体装置の配線構造及びその製造方法
US10/760,457 US6969911B2 (en) 2003-10-24 2004-01-21 Wiring structure of semiconductor device and production method of the device
US11/230,525 US7211505B2 (en) 2003-10-24 2005-09-21 Production method for wiring structure of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003365238A JP3647853B1 (ja) 2003-10-24 2003-10-24 半導体装置の配線構造及びその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004319168A Division JP4238815B2 (ja) 2004-11-02 2004-11-02 半導体装置の配線構造及びその製造方法

Publications (2)

Publication Number Publication Date
JP3647853B1 JP3647853B1 (ja) 2005-05-18
JP2005129808A true JP2005129808A (ja) 2005-05-19

Family

ID=34510162

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003365238A Expired - Fee Related JP3647853B1 (ja) 2003-10-24 2003-10-24 半導体装置の配線構造及びその製造方法

Country Status (2)

Country Link
US (2) US6969911B2 (ja)
JP (1) JP3647853B1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034633A (ja) * 2006-07-28 2008-02-14 Nec Electronics Corp 半導体装置およびその製造方法
US7553757B2 (en) 2006-02-06 2009-06-30 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2012146752A (ja) * 2011-01-07 2012-08-02 Fujitsu Ltd 半導体装置及びその製造方法
US8378488B2 (en) 2008-08-12 2013-02-19 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2014183127A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 半導体装置およびその製造方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3647853B1 (ja) * 2003-10-24 2005-05-18 沖電気工業株式会社 半導体装置の配線構造及びその製造方法
JP4207749B2 (ja) * 2003-10-28 2009-01-14 沖電気工業株式会社 半導体装置の配線構造及びその製造方法
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7348272B2 (en) * 2005-08-03 2008-03-25 United Microelectronics Corp. Method of fabricating interconnect
US7394154B2 (en) * 2005-09-13 2008-07-01 International Business Machines Corporation Embedded barrier for dielectric encapsulation
US7737556B2 (en) * 2005-09-30 2010-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Encapsulated damascene with improved overlayer adhesion
KR20070071020A (ko) * 2005-12-29 2007-07-04 동부일렉트로닉스 주식회사 캐핑 금속층에 의해 보호된 구리 금속 배선 및 그 제조방법
TW200735274A (en) * 2005-12-29 2007-09-16 Koninkl Philips Electronics Nv Reliability improvement of metal-interconnect structure by capping spacers
TWI315560B (en) * 2006-09-19 2009-10-01 Nat Univ Tsing Hua Interconnection structure and manufacturing method thereof
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications
US8084356B2 (en) * 2007-09-29 2011-12-27 Lam Research Corporation Methods of low-K dielectric and metal process integration
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US20100055422A1 (en) * 2008-08-28 2010-03-04 Bob Kong Electroless Deposition of Platinum on Copper
US7745324B1 (en) * 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8669176B1 (en) * 2012-08-28 2014-03-11 Globalfoundries Inc. BEOL integration scheme for copper CMP to prevent dendrite formation
US9490209B2 (en) 2013-03-13 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration barrier for Cu interconnect
US20150357236A1 (en) 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects
FR3024205B1 (fr) * 2014-07-28 2017-02-03 Total Raffinage Chimie Vanne guillotine destinee a la regulation de flux dans une unite de craquage catalytique fluide
US9870994B2 (en) 2014-09-17 2018-01-16 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
CN108573949B (zh) * 2017-03-08 2022-04-05 三星电子株式会社 集成电路器件及其制造方法
KR102248788B1 (ko) * 2017-03-08 2021-05-06 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US11239165B2 (en) 2020-03-10 2022-02-01 International Business Machines Corporation Method of forming an interconnect structure with enhanced corner connection

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910580A (en) * 1987-08-27 1990-03-20 Siemens Aktiengesellschaft Method for manufacturing a low-impedance, planar metallization composed of aluminum or of an aluminum alloy
JPH01302842A (ja) * 1988-05-31 1989-12-06 Nec Corp 多層配線構造の半導体装置
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
JPH06120210A (ja) 1992-10-01 1994-04-28 Nec Corp 半導体装置の製造方法
JP3422056B2 (ja) 1993-10-27 2003-06-30 ソニー株式会社 配線形成方法、銀薄膜形成方法、並びにケミカルメカニカルポリッシュ法
JP2867934B2 (ja) * 1996-01-04 1999-03-10 日本電気株式会社 半導体装置及びその製造方法
JPH10189590A (ja) 1996-12-24 1998-07-21 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3285509B2 (ja) 1997-03-18 2002-05-27 三菱電機株式会社 半導体装置
JP3277855B2 (ja) * 1997-08-27 2002-04-22 ヤマハ株式会社 半導体装置の配線形成方法
JPH10270448A (ja) 1998-04-21 1998-10-09 Seiko Epson Corp 半導体装置
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
JP2000323479A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置およびその製造方法
US6465345B1 (en) * 1999-05-28 2002-10-15 Advanced Micro Devices, Inc. Prevention of inter-channel current leakage in semiconductors
US6261952B1 (en) * 1999-10-04 2001-07-17 Advanced Micro Devices, Inc. Method of forming copper interconnects with reduced in-line diffusion
US6329701B1 (en) 1999-10-04 2001-12-11 Advanced Micro Devices, Inc. Semiconductor device comprising copper interconnects with reduced in-line diffusion
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
JP4055319B2 (ja) * 2000-02-18 2008-03-05 ソニー株式会社 半導体装置の製造方法
JP4342075B2 (ja) * 2000-03-28 2009-10-14 株式会社東芝 半導体装置およびその製造方法
JP2001358105A (ja) 2000-06-12 2001-12-26 Mitsubishi Electric Corp 埋め込み配線の形成方法およびcmp装置、並びに半導体装置およびその製造方法
US6380084B1 (en) 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US6674170B1 (en) * 2000-12-18 2004-01-06 Advanced Micro Devices, Inc. Barrier metal oxide interconnect cap in integrated circuits
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
KR100385951B1 (ko) * 2001-01-17 2003-06-02 삼성전자주식회사 다중층의 스토리지 노드 콘택 플러그를 갖는 반도체메모리 소자 및 그 제조방법
JP2002329780A (ja) 2001-04-27 2002-11-15 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP3820975B2 (ja) 2001-12-12 2006-09-13 ソニー株式会社 半導体装置及びその製造方法
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US6958291B2 (en) * 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
JP3647853B1 (ja) 2003-10-24 2005-05-18 沖電気工業株式会社 半導体装置の配線構造及びその製造方法
JP4207749B2 (ja) * 2003-10-28 2009-01-14 沖電気工業株式会社 半導体装置の配線構造及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7553757B2 (en) 2006-02-06 2009-06-30 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2008034633A (ja) * 2006-07-28 2008-02-14 Nec Electronics Corp 半導体装置およびその製造方法
US8378488B2 (en) 2008-08-12 2013-02-19 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2012146752A (ja) * 2011-01-07 2012-08-02 Fujitsu Ltd 半導体装置及びその製造方法
JP2014183127A (ja) * 2013-03-18 2014-09-29 Fujitsu Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US7211505B2 (en) 2007-05-01
US20050087871A1 (en) 2005-04-28
US20060014380A1 (en) 2006-01-19
US6969911B2 (en) 2005-11-29
JP3647853B1 (ja) 2005-05-18

Similar Documents

Publication Publication Date Title
JP3647853B1 (ja) 半導体装置の配線構造及びその製造方法
US11139235B2 (en) Semiconductor device and manufacturing method thereof
US6638863B2 (en) Electropolishing metal layers on wafers having trenches or vias with dummy structures
TWI326903B (en) Method of manufacturing semiconductor device
US6245663B1 (en) IC interconnect structures and methods for making same
US7666781B2 (en) Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US7208404B2 (en) Method to reduce Rs pattern dependence effect
US20070111522A1 (en) Formation of metal silicide layer over copper interconnect for reliability enhancement
US6908863B2 (en) Sacrificial dielectric planarization layer
JP2005500687A (ja) 平面化法と電解研磨との組み合わせを使用する半導体構造物の形成
JP4207749B2 (ja) 半導体装置の配線構造及びその製造方法
JP2000156406A (ja) 半導体装置およびその製造方法
JP4238815B2 (ja) 半導体装置の配線構造及びその製造方法
JP2001284355A (ja) 半導体装置およびその製造方法
KR20110047568A (ko) 반도체 소자의 금속 배선 형성방법
KR20070031237A (ko) 반도체 장치를 제조하는 방법
KR100588376B1 (ko) 반도체소자의 패드 형성방법
JP2006054326A (ja) 半導体装置の製造方法及び半導体装置
KR20080022872A (ko) 반도체 소자의 구리 금속배선 형성 방법

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050209

R150 Certificate of patent or registration of utility model

Ref document number: 3647853

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070216

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20070605

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090218

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090218

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100218

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100218

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110218

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110218

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120218

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120218

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130218

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130218

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140218

Year of fee payment: 9

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees