JP2004503083A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2004503083A
JP2004503083A JP2002507426A JP2002507426A JP2004503083A JP 2004503083 A JP2004503083 A JP 2004503083A JP 2002507426 A JP2002507426 A JP 2002507426A JP 2002507426 A JP2002507426 A JP 2002507426A JP 2004503083 A JP2004503083 A JP 2004503083A
Authority
JP
Japan
Prior art keywords
film
insulating film
opening
curing
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002507426A
Other languages
English (en)
Other versions
JP5202784B2 (ja
Inventor
前川 薫
星野 聡彦
杉浦 正仁
アレグレティ フェデリカ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002507426A priority Critical patent/JP5202784B2/ja
Publication of JP2004503083A publication Critical patent/JP2004503083A/ja
Application granted granted Critical
Publication of JP5202784B2 publication Critical patent/JP5202784B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

半導体装置の製造方法は、基板上に第1の絶縁膜をスピンオンにより形成し、前記第1の絶縁膜に対して380〜500°Cの温度で5〜180秒間硬化処理を行い、さらに前記第1の絶縁膜上に第2の絶縁膜をスピンオンにより形成する。

Description

【0001】
背景技術
本発明は、一般には半導体製造装置に係り、より詳細には、有機系低誘電率塗布絶縁膜を多層配線構造の層間絶縁膜とした半導体装置の製造方法に関する。
従来の技術
高解像度リソグラフィ技術の進歩に伴い、今日の先端的な半導体集積回路装置では基板上に多くの数の半導体素子が形成されている。かかる先端的な半導体集積回路装置では、基板上に半導体素子間を接続させるには、一層の配線層では不十分であり、複数の配線層を層間絶縁膜を介して積層させた、いわゆる多層配線構造が利用されている。
【0002】
特に最近では、層間絶縁膜中に配線層に対応した配線溝及びコンタクトホールを予め形成しておき、これを導体で埋めることにより配線層を形成する、いわゆるデュアルダマシン法による多層配線構造の研究がなされている。
【0003】
デュアルダマシン法には様々な変形が存在するが、図1(A)〜図1(F)には、典型的なデュアルダマシン法による、多層配線構造の形成方法を示す。
【0004】
まず、図1(A)を参照するに、MOS(金属−酸化物−シリコン)トランジスタ等、図示しない半導体要素が形成されたシリコン基板10は、CVD(化学気相堆積)−SiOなどの層間絶縁膜11により覆われており、前記層間絶縁膜11上に配線パターン12Aが形成されている。前記配線パターン12Aは、前記層間絶縁膜11上に形成された次の層間絶縁膜12B中に埋め込まれており、前記配線パターン12A及び層間絶縁膜12Bは、SiN等のエッチングストッパ膜13により覆われている。前記エッチングストッパ膜13は、さらに次の層間絶縁膜14に覆われ、前記層間絶縁膜14上には、SiN等よりなる、さらに別のエッチングストッパ膜15が形成されている。
【0005】
図示した例では、前記エッチングストッパ膜15上にさらに別の層間絶縁膜16が形成され、さらに前記層間絶縁膜16は次のエッチングストッパ膜17により覆われている。エッチングストッパ膜15、17は、「ハードマスク」ともよばれることがある。
【0006】
図1(A)の工程では、前記エッチングストッパ膜17上にフォトリソグラフィー工程により、所望のコンタクトホールに対応したレジスト開口部18Aを有するレジストパターン18が形成され、前記レジストパターン18をマスクに、前記エッチング膜17をドライエッチングにより除去し、前記エッチングストッパ膜17中に、前記コンタクトホールに対応した開口部を形成する。
【0007】
次に、図1(B)の工程では、前記エッチングストッパ膜17の下の層間絶縁膜16を反応性イオンエッチング(以下、「RIE」という)法により、ドライエッチングし、前記層間絶縁膜16中の前記コンタクトホールに対応した開口部16Aを形成した後、前記レジストパターンを除去する。層間絶縁膜16が有機材料の場合には、層間絶縁膜16をエッチングしてコンタクトホール16Aを形成する際に、レジスト除去をする。
【0008】
さらに、図1(C)の工程では、前記図1(B)の構造上にレジスト膜19が塗布され、図1(D)の工程において、これをフォトリソグラフィー法によりパターニングし、所望の配線パターンに対応したレジスト開口部19Aをレジスト層19中に形成する。前記開口部19Aが形成された結果として、前記層間絶縁膜16中に形成された開口部16Aが、前記レジスト開口部19A中に露出される。
【0009】
図1(D)の工程では、さらに前記レジスト膜19をマスクに、前記レジスト開口部19Aにおいて露出した前記エッチングストッパ膜17及び前記開口部16A底部において露出したエッチングストッパ膜15をドライエッチングにより除去し、図1(E)の工程にて、前記層間絶縁膜16及び層間絶縁膜14をドライエッチングにより一括してパターニングし、前記レジスト層19を除去する。かかるパターニングの結果、図1(E)に示すように、前記層間絶縁膜16中には所望の配線膜溝に対応する開口部14Aが形成される。前記開口部16Bは、前記開口部16Aを含むように形成される。
【0010】
さらに、図1(F)の工程にて、さらに前記開口部14Aにおいて露出しているエッチングストッパ膜13をRIE法によるドライエッチング法により除去し、前記配線パターン12Aを露出させた後、前記配線溝16A及び開口部14AをAl或いはCu等の導電膜で充填させ、さらにこれを化学機械研磨(CMP)することにより、配線パターン12Aとコンタクトホール14Aで電気的に接続された配線パターン20が得られる。これらの工程をさらに繰り返すことにより、3層目、4層目の配線パターンを形成することが可能である。
【0011】
一方、従来から半導体装置は、設計ルールを微細化することで、高集積化及び高性能化を進めてきた。しかしながら、設計ルールを微細化していくと、配線抵抗及び配線間容量の増加が顕著となり、従来の配線材料では、これ以上の半導体装置の高性能化することが難しい状況にある。このため、近時では、配線材料として電気抵抗の低いCuの使用が、また、層間絶縁膜には配線間容量低減のための低誘電率材料の使用が研究されてきている。
【0012】
特に最近の高性能半導体装置においては、低誘電率層間絶縁膜と組み合わせて、従来使われているAlの代わりに低抵抗Cuを配線材料として使い、ダマシン法にて多層配線構造を構成する試みがなされている。
【0013】
前述したデュアルダマシン法ではCMP工程が含まれるため、かかるデュアルダマシン法において使われる低誘電率材料も、通常に要求される小さい配線間キャパシタンスの他に、せん断・圧縮応力に耐え得る良好な機械的性質、すなわち密着性を有することが要求される。この機械的強度は、デュアルダマシン法で使われる低誘電率絶縁膜にとって最も重要な要求特性のひとつである。
【0014】
ところで、従来のような層間絶縁膜としてSiO若しくはBPSG等を使った場合には、比誘電率の値は4〜5程度になるが、例えば、FSGと称されるF(フッ素)添加SiO膜を使うと比誘電率の値を3.3〜3.6程度まで減少させることができる。またHSQ(hydrogen silsesquioxane)等のSi−H基を含むSiO膜では、比誘電率の値を2.9〜3.1程度まで低減させることができる。さらに、前記層間絶縁膜として、有機SOGの使用や、有機系絶縁膜の使用の提案されている。有機SOGを使用した場合、3.0以下の比誘電率が達成される。また有機系絶縁膜は2.7程度の非常に低い誘電率を実現させることが可能である。
【0015】
かかる有機系低誘電率層間絶縁膜の成膜方法には、熱或いはプラズマCVD法や塗布法があるが、塗布法は、絶縁材料を形成する溶液を選択する際の自由度がCVD法よりも大きく、スループットが高いという大きな利点を有している。
【0016】
通常、塗布方法はシリコン基板をスピンコータにてセットし、基板を回転させながら前記シリコン基板上に溶液から有機系低誘電率層間絶縁材料の膜を形成する。続いてシリコン基板を乾燥させて溶媒を蒸発させ、必要に応じてホットプレート、炉や加熱ランプなどの加熱装置でキュアを行う。最終の熱キュアによって溶媒に不溶な、高度に架橋した絶縁膜を得られる。
【0017】
一方、デュアルダマシン法により低抵抗Cuを用いた多層配線構造を形成する場合、Cuのドライエッチが困難なため、CMP技術を使うことが重要になる。しかし、CMP法の適用に関しては、特に有機系絶縁膜を用いた場合に有機絶縁膜の密着性が劣る問題が指摘されている。
発明の開示
そこで本発明は上記の課題を解決した新規で有用な半導体装置の製造方法を提供することにある。
【0018】
本発明のより具体的な課題は、多層配線構造において使われる、塗布法により形成された有機系低誘電率層間絶縁材料の密着性を向上させることにある。
【0019】
本発明のその他の課題は、
基板上に第一の絶縁膜を塗布法により形成する工程と、
前記第一の絶縁膜に対して380〜500°Cの温度で5〜180秒間硬化処理を行う工程と、
前記第一の絶縁膜上に第二の絶縁膜を塗布法により形成する工程とよりなる半導体装置の製造方法を提供することにある。
【0020】
本発明のその他の課題は、
基板上に第一の絶縁膜を塗布法により形成する工程と、
前記第一の絶縁膜に対して380〜500°Cの温度で5〜180秒間硬化処理を行う工程と、
前記第一の絶縁膜上に第二の絶縁膜を塗布法により形成する工程と、
前記第二の絶縁膜をパターニングし、開口部を形成する工程と、
前記第二の絶縁膜をマスクに前記第一の絶縁膜をエッチングする工程とを含む半導体装置の製造方法を提供することにある。
【0021】
本発明によれば、芳香族系低誘電率有機絶縁膜の密着性が、その硬化条件を最適化することにより向上する。そこで、このような有機絶縁膜を多層配線構造中において使うことにより、多層配線構造を形成するのにCMP法を使うダマシン法を使った場合でも半導体装置製造の際の歩留りが向上する。本発明の有機絶縁膜を使うことにより、多層配線構造全体の誘電率を減少させることが可能で、その結果半導体装置の動作速度が向上する。
本発明のその他の課題および特徴は、以下の図面を参照して行う詳細な説明より明らかとなろう。
発明を実施するための最良の態様
[原理]
以下、本発明の発明者が行った本発明の基礎となる実験の説明をする。
【0022】
実験では、塗布方法により成膜される芳香族系有機低誘電率絶縁膜を含む積層膜構造について、密着性試験行った。芳香族系有機低誘電率絶縁膜としてSiLK(ダウケミカル社商品名)あるいはFLARE(ハネウエル社商品名)などがある。従来から、塗布法による成膜は、スピンコート後にベークを行って溶媒を蒸発させ、その後、ホットプレート若しくは炉又はランプ加熱などの加熱装置によりキュアをさせている。そのキュアの際には、膜を十分硬化させることが、通常行われている。
【0023】
以下では「一次ベーク」は、第一の絶縁膜塗布後のベークのことを意味するものとし、「一次キュア」は一次ベーク後のキュアを意味するものとする。さらに「二次ベーク」は第二の絶縁膜塗布後のベークのことを意味するものとし、「二次キュア」は二次ベーク後のキュアを意味するものとする。
【0024】
試験‐1(従来技術)
Si基板上に、芳香族系有機絶縁膜の溶液をスピンコータにて塗布し、一次ベーク後、400℃の30分間で、加熱装置で一次キュアさせ、シリコン基板上に、第一の絶縁層として比誘電率が2.65の芳香族系有機絶縁膜を形成する。
【0025】
さらに、市販のスピンオン絶縁膜(有機SOG)を、前記第一の絶縁膜上に塗布し、二次ベークを行い、400℃の30分間で、加熱装置で二次キュアさせて前記第一の絶縁膜上に、第二の絶縁層であるSiNCH膜を形成した。
【0026】
試験‐2
第一の絶縁膜に適用される一次キュア工程の時間を変化させた以外は、試験‐1と同様な手順を行った。より具体的には、前記第一の絶縁膜の一次キュア工程を400℃で90秒間、加熱装置中で行った。
【0027】
剥離試験
上記試験‐1及び試験‐2で得られた多層膜構造に対して引張り試験を行い、第一の絶縁膜と第二の絶縁膜との間の密着力を求めた。引張り試験は、アルミピンの先端を第二の絶縁膜にエポキシ樹脂により取り付け、エポキシ樹脂硬化後に引っ張ることによって行った。その結果を図2に示す。
【0028】
図2から明らかなように、密着力は、前記第一の絶縁膜の一次キュアを、通常よりも不十分なキュアしか生じないような条件で行った場合に増大することがわかる。図2に示す結果は、第一の絶縁層が芳香族系有機絶縁膜で第二の絶縁層があるSiNCH膜である場合についてのものであるが、同様な結果は、第一の絶縁層が芳香族系有機絶縁膜で第二の絶縁層が一般的に入手可能な有機シラン系材料から導かれるSiOCH膜である場合、あるいは第一の絶縁層が芳香族系有機絶縁膜で第二の絶縁層がHSQ(hydrogen silsesquioxane)膜や芳香族系有機絶縁膜である場合でも得られる。
【0029】
図3A〜図3Fは、従来のスピンオン絶縁膜の積層工程を示す。
【0030】
通常のスピンオン膜の形成方法では、図3Aに示す工程にて、シリコン基板20上に目的とする有機絶縁材料を含んだ溶液をスピンコートした後、図3Bの工程にて一次ベークを行い、溶媒等を蒸発させる。
【0031】
さらに、図3Cの工程にて、前記目的材料を、一次キュアを行い、前記目的材料を完全に硬化させる。その結果、前記Si基板20上には目的とする材料の完全硬化膜21が形成される。実際に多層配線用に利用される有機絶縁膜はほとんどが熱硬化性を備えているので、ベークによる溶媒除去とともに、その後のキュアにて硬化が十分に進行する。
【0032】
次に図3Dの工程において第二の絶縁層22を塗布し、図3Eの工程にて二次ベークを行った後、図3Fの工程で二次キュア工程を行い、完全に硬化した膜21及び22よりなる積層構造が得られる。
【0033】
図4A〜4Fは、図2の発見に基づく本発明のプロセスを示す。
【0034】
図2の試験‐2に対する結果は、前記第一の絶縁層21に対して一次キュア工程を、試験‐1の対応する一次キュア工程よりも低い熱エネルギーで行うことにより、前記絶縁膜21と22との間にはるかに優れた密着性が得られることを明らかに示している。このことは、試験‐2における前記第一の絶縁膜21の硬化程度は、前記一次キュア工程を終えた時点では、前記試験‐1場合に一次キュア工程が終了した時点、すなわちまだ前記第一の絶縁膜21上に第二の絶縁膜22が形成されていない時点での硬化程度よりも低くなっていることを意味していると考えられる。試験−1ではキュアは400℃で30分なされているのに対し、試験−2ではキュアは同じ温度で90秒間しかなされていない。
【0035】
そこで本発明では図3Aの工程に対応する図4Aの工程の後、図4Bに示す試験‐2の一次キュアを図3Bの場合よりも低い熱エネルギーで実行する。その結果、前記第一の絶縁膜は部分的にしか硬化せず、図4Bの一次キュア工程が終了した時点でも膜21中には多数の未反応サイトが残されている。
【0036】
図4Bの工程の後、図4Cの工程で前記第一の絶縁膜21上に第二の絶縁層22を塗布し、図4Dの工程で二次ベークを行った後、図4Eに示す二次キュアを行うことにより、第一の絶縁層21の上部に存在する未反応サイトと、第二の絶縁層22の下部に存在する反応サイトとが相互に反応し、第一及び第二の絶縁層間の界面に相互反応によってインターミキシング層28が図4Fおよび図4Gに示すように形成される。ただし図4Gは図4Fの一部を拡大し図である。前記二次キュアをより大きな熱エネルギで行うことにより、完全に硬化した膜21及び22よりなる積層構造が得られる。
【0037】
図4A〜4Eの工程により、層21,22の密着性が向上する。
【0038】
このように、本発明では積層絶縁構造を構成する第一の絶縁層21の一次キュアの時間条件を制御することによりインターミキシング層28を形成する。本発明は、第一の絶縁層21が芳香族系有機絶縁膜であり第二の絶縁層22がSiNCH膜である場合に限定されるものではなく、第一の絶縁層21としてSiNCH膜、SiOCH膜、有機SOG膜やHSQ膜を使った場合においても適用可能である。また第二の絶縁層は、前述のSiNCH膜以外に、芳香族系有機絶縁膜、SiOCH膜、有機SOG膜やHSQ膜でも可能である。
【0039】
また同様な結果は、Si基板上にすでに配線パターンが形成されている基板においても得られる。
【0040】
上記剥離試験の結果から、前記第一の絶縁層21の一次キュア条件は380℃乃至500℃で、5秒乃至180秒が好ましく、より好ましくは380℃乃至500℃で、10秒乃至150秒で、さらに好ましくは、400℃乃至470℃で、10秒乃至150秒がよいことが結論される。第一の絶縁層21の一次キュア温度の上限は、第二の絶縁層を形成する際に、前述のインターミキシング層を形成する反応以外に前記第一および第二の絶縁膜間で化学反応が生じない要請より決定される。また、キュア時間については5秒以下ではキュアプロセスとして不適当であり、一方180秒以上のキュア時間では、所望の密着性の向上が得られない。このキュア時間はもちろん乾燥温度にも依存する。
[第一実施例]
図5A〜図5Fは、本発明の第一実施例による多層配線構造を有する半導体装置の製造工程を示す。ただし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0041】
図5Aを参照するに、多層配線構造はCu配線パターン12Aの形成されているSi基板10上に絶縁膜11を介して形成されており、Cu配線パターン12Aは層間絶縁膜12B中に埋設されている。
【0042】
前記層間絶縁膜12上には、従来のSiNエッチングストッパ膜13の代わりにSiOCHよりなるエッチングストッパ膜23が市販のポリシラン膜のスピンコートにより形成されており、前記エッチングストッパ膜23上には芳香族系低誘電率有機絶遠材料よりなる層間絶縁膜24がスピンコートにより形成されている。さらに前記層間絶縁膜24上にはSiOCHよりなるエッチングストッパ膜25が有機SOGのスピンコートにより形成されており、芳香族系低誘電率絶遠材料よりなる層間絶縁膜26が前記エッチングストッパ膜25上にさらにスピンコートにより形成されている。前記層間絶縁膜26上にはSiNCHよりなるエッチングストッパ膜27が形成されている。
【0043】
図5Aの工程では、層23〜27の各々がスピンコートにより形成される都度、一次ベーク工程と一次キュア工程とが行われるが、その際前記一次キュア工程は、図2の知見に基づいて、400°Cにおいて90秒間実行される。さらに前記層23〜27を含む層構造が形成された後、二次キュアを400°Cにおいて30分間行い、膜23〜27を完全に硬化させる。
【0044】
次に図5Bの工程において、前記レジストパターン18をマスクに、前記SiN膜27をドライエッチングし、前記SiNCH膜27中に前記レジスト開口部18Aに対応した開口部を形成する。なお、前記レジスト開口部18Aは、多層配線構造中に形成したいコンタクトホールの対応している。さらに、前記レジストパターン18を除去して、前記SiNCH膜27の下にある有機低誘電率絶縁膜26をドライエッチングし、前記レジスト開口部18Aに対応した開口部26Aを形成する。
【0045】
次に、図5Cの工程において、図5Bの構造上のレジスト膜19を新たに塗布し、その後、図5Dの工程において、前記レジスト膜19をフォトリソグラフィー工程によりパターニングし、前記多層配線構造中に形成したい配線溝に対応したレジスト開口部19Aを形成する。前記レジスト開口部19Aを形成した結果、前記SiNCH膜27及び前記低誘電率絶縁膜26中に形成された開口部26Aが露出する。また、前記開口部26Aの底において、前記SiOCH膜25が露出する。
【0046】
続いて、図5Eの工程において、前記レジスト19をマスクに、前記レジスト開口部19Aにより露出された前記SiNCH膜27をドライエッチングして除去する。かかるドライエッチングを行うことにより、前記開口部26Aの底部において露出されたSiOCH膜25も同時に除去され、前記層間絶縁膜24が露出される。
【0047】
さらに、図5Eの工程では、このようにして得られた構造に対してドライエッチングを行い、前記層間絶縁膜26中に、前記レジスト開口部19Aに対応した、すなわち形成したい配線溝に対応した開口部26Bを形成する。但し、前記開口部26Bは前記開口部26Aを含むように形成される。前記開口部26Bの形成と同時に、前記層間絶縁膜24中には、前記開口部26Aに対応した、すなわち形成したいコンタクトホールに対応した開口部24Aが形成される。
【0048】
さらに、図5Fの工程において前記層間絶縁膜26上のSiNCH膜27、前開口部26Bにおいて露出しているSiOCH膜25、さらに前記開口部24Aにおいて露出しているSiOCH膜23がドライエッチングを行うことにより除去され、このようにして形成された前記開口部26Bよりなる配線溝及び前記開口部24AよりなるコンタクトホールをCuの導体層により充填させることにより、所望の多層配線構造が得られる。
【0049】
前記層間絶縁膜24及び26として、SiNCH膜、SiOCH膜、SiOH等のHSQ膜、あるいは有機SOG膜を使うことも可能である。さらに、エッチングストッパ膜23、25、及び27として、有機低誘電率絶縁膜、SiOH等のHSQ膜、あるいは有機SOG膜を使うことも可能である。本実施例による多層配線構造は、全体的な誘電率を低下させることが可能になり、半導体装置の高速動作に寄与する。
[第一比較例]
前記第一実施例と同様な構造を有する積層構造を図5A〜5Fと同様な工程において、だだし一次キュア工程を400℃の30分間の条件で行い、第一比較例用の多層配線構造を作製した。
【0050】
このようにして得られた本比較例による多層配線構造の評価については後で説明する。
[第二実施例]
図6A〜図6Eは、本発明の第一実施例による多層配線構造を有する半導体装置の製造工程を示す。ただし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。本実施例の多層配線構造は、いわゆるデュアルハードマスク構成を有する。
【0051】
図6Aを参照するに、多層配線構造はCu配線パターン12Aの形成されているSi基板10上に絶縁膜11を介して形成されており、Cu配線パターン12Aは層間絶縁膜12B中に埋設されている。
【0052】
前記層間絶縁膜12上にはSiOCHよりなるエッチングストッパ膜23が市販のポリシラン膜のスピンコートにより形成されており、前記エッチングストッパ膜23上には芳香族系低誘電率有機絶遠材料よりなる層間絶縁膜24がスピンコートにより形成されている。さらに前記層間絶縁膜24上にはSiOCHよりなるエッチングストッパ膜30がスピンコートにより形成されており、芳香族系低誘電率絶遠材料よりなる層間絶縁膜26が前記エッチングストッパ膜30上にさらにスピンコートにより形成されている。前記層間絶縁膜26上にはSiOCHよりなるエッチングストッパ膜31が形成されている。前記エッチングストッパ膜30,31はいわゆるデュアルハードマスクを構成する。
【0053】
図6Aの工程でも、層23,24,26,31,32の各々がスピンコートにより形成される都度、一次ベーク工程と一次キュア工程とが行われるが、その際前記一次キュア工程は、図2の知見に基づいて、400°Cにおいて90秒間実行される。さらに前記層23,24,26,31,32を含む層構造が形成された後、二次キュアを400°Cにおいて30分間行い、これらの膜を完全に硬化させる。
【0054】
図6Aの工程では、さらに前記SiO膜32上にレジスト開口部18Aを有するレジスト膜18が形成され、レジスト膜18をマスクとしてSiO膜にドライエッチングを施すことにより、前記レジスト開口部18Aに対応して前記SiO膜32中にSiOCH膜31を露出する開口部が形成される。
【0055】
次に図6Bの工程において前記SiOCH膜31はパターニングされ、前記SiOCH膜31中に前記レジスト開口部18Aに対応した開口部31Aが、前記層間絶縁膜26を露出するように形成される。次に前記レジスト膜18が除去され、さらに形成したい配線溝に対応したレジスト開口部19Aを有するレジスト膜19が形成され、図6Cの工程において前記レジスト膜をマスクに前記SiO膜32をパターニングする。その結果、前記SiO膜32中には、前記レジスト開口部19Aに対応した開口部32Aが、前記SiOCH膜31を露出するように、所望の配線溝に対応して形成される。
【0056】
図6Cの前記SiO膜32のパターニング工程では、前記層間絶縁膜26の露出部も同時にパターニングされ、その結果、前記層間絶縁膜26中に、前記開口部31Aに対応した開口部26Aが形成される。この工程では、前記SiOCH膜31がハードマスクとして使われる。前記開口部26Aにおいて、SiOCH膜30が露出される。
【0057】
次に、図6Dの工程において、前記開口部32Aにおいて露出しているSiOCH膜31及び開口部26Aにおいて露出しているSiOCH膜30を同時にパターニングし、前記開口部32Aにおいて層間絶縁膜26を、また前記開口部26Aにおいて層間絶縁膜24を露出する。
【0058】
さらに、図6Eの工程にて、前記SiOCH膜31上の残っているSiO2膜32を除去し、さらに前記開口部32Aにおいて露出している層間絶縁膜26及び前記開口部26Aにおいて露出している層間絶縁膜24を除去する。その結果、前記層間絶縁膜26中に、前記レジスト開口部19Aに対応した、すなわち形成したい配線溝に対応した開口部26Bが、また前記層間絶縁膜24中に前記レジスト開口部24Aに対応した開口部24Aが形成される。
【0059】
さらに、図6Eの構造において残っているSiOCH膜23を除去し、前記開口部26A及び24AをCuの導体層により充填させることにより、所望の多層配線構造がSi基板10上に得られる。
【0060】
本実施例では、前記層間絶縁膜24及び26として、SiNCH膜、SiOCH膜、SiOH等のHSQ膜、あるいは有機SOGを使うことも可能である。さらに、エッチングストッパ膜23、30及び31として、有機低誘電率絶縁膜、SiNCH膜、SiOH等のHSQ膜、あるいは有機SOG膜を使うことも可能である。上記第二実施例による多層配線構造は、全体的な誘電率を低下させることが可能となり、半導体装置の高速動作に寄与する。
[第二比較例]
第二の比較実験では、前記第二実施例と同様な構造を有する積層構造を形成する際に、スピンオン層23〜31の形成毎に一次ベーク工程の後一次キュア工程を、400℃の温度で、30分間の条件で行った。
【0061】
以下に第二比較例の評価を行う。
【0062】
[CMPによる層間剥離]
本発明の発明者は、前記第一および第二の実施例で得られた多層配線構造について、その上に堆積されたCu層をCMP法により除去する実験を行った。また本発明の発明者は、前記第一および第二の比較例で得られた多層配線構造についても、Cu層をCMP法により除去する実験を行った。
【0063】
本実験によれば、本発明の第一及び第二実施例による多層配線構造では、層間絶縁膜の剥離やクラックは発生しないことが確認された。これに対し、前記第一および第二の比較例による多層配線構造では、層間膜に剥離が生じるのが観測された。
【0064】
以上要約すると、本発明は一次ベークの条件を最適に制御することにより、多層配線構造における層間膜の密着性を向上させることを可能とする。
【0065】
さらに本発明は上記の実施例に限定されるものではなく、本発明の要旨内において様々な変形・変更が可能である。
産業上の利用可能性
本発明によれば、誘電率塗布絶縁膜よりなる多層配線構造において、一次キュアの条件を最適に制御することにより、層間絶縁膜の密着性を向上させることが可能になる。その結果、高速半導体装置および集積回路装置を歩留良く製造することが可能になる。
【図面の簡単な説明】
【図1】
A〜1Fは、従来の多層配線構造の形成工程を示す図である。
【図2】
芳香族系有機低誘電率絶縁膜の引張り強度と一次ベーク際の条件との関係を示す図である。
【図3】
A〜Fは、従来の処理工程を示す図である。
【図4】
A〜Gは、本発明の原理を説明する図である。
【図5】
A〜Fは、本発明の第一実施例による半導体装置の製造工程を示す図である。
【図6】
A〜Eは、本発明の第二実施例による半導体装置の製造工程を示す図である。
【符号の説明】
10 シリコン基板
12 配線層
12A 配線パターン
12B 絶縁膜,エッチングストッパ膜
14,16 絶縁膜
14A,16A,25A,32A ハードマスク開口部
18,19 レジスト膜
18A,19A レジスト開口部
20 導体パターン
21 第一の絶縁膜
22 第二の絶縁膜
28 インターミキシング層
23,25,27,30,31 エッチングストッパ膜

Claims (20)

  1. 基板上に第一の絶縁膜を塗布する工程と、
    前記第一の絶縁膜にキュアを、380℃乃至500℃の温度で、5秒乃至180秒の時間で行う工程と、
    前記第一の絶縁膜上に第二の絶縁膜を塗布する工程を含むことを特徴とする半導体装置の製造方法。
  2. 前記第一の絶縁膜は有機材料であり、且つ、誘電率が3.0以下であることを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記第一の絶縁膜は芳香族系有機材料であることを特徴とする請求項1記載の半導体装置の製造方法。
  4. 前記第一の絶縁膜はSiNCH膜、SiOCH膜、有機SOG膜及びHSQ膜からなる群から選ばれたスピンオン膜であることを特徴とする請求項1記載の半導体装置の製造方法。
  5. 前記第二の絶縁膜は有機材料であり、且つ、誘電率が3.0以下であることを特徴とする請求項1記載の半導体装置の製造方法。
  6. 前記第二の絶縁膜は芳香族有機材料であることを特徴とする請求項1記載の半導体装置の製造方法。
  7. 前記第二の絶縁膜はSiNCH膜、SiOCH膜、有機SOG膜及びHSQ膜からなる群から選ばれたスピンオン膜であることを特徴とする請求項1記載の半導体装置の製造方法。
  8. 前記キュアを380℃乃至500℃の温度で、10秒乃至150秒の時間で行うことを特徴とする請求項1記載の半導体装置の製造方法。
  9. 前記キュアを400℃乃至470℃の温度で、10秒乃至150秒の時間で行うことを特徴とする請求項8記載の半導体装置の製造方法。
  10. 前記キュアを行うことにより、前記第一の絶縁層と前記第二の絶縁層との間にインターミキシング層を形成させることを特徴とする請求項1記載の半導体装置の製造方法。
  11. 基板上に第一の絶縁膜を塗布する工程と、
    前記第一の絶縁膜にキュアを、380℃乃至500℃の温度で、5秒乃至180秒の時間で行う工程と、
    前記第一の絶縁膜上に第二の絶縁膜を塗布する工程と、
    前記第二の絶縁膜をパターニングし、開口部を形成する工程と、
    前記第二の絶縁膜をマスクに前記第一の絶縁膜をエッチングする工程とを含む半導体装置の製造方法。
  12. 前記第一の絶縁膜は有機材料であり、且つ、誘電率が3.0以下であることを特徴とする請求項11記載の半導体装置の製造方法。
  13. 前記第一の絶縁膜は芳香族系有機材料であることを特徴とする請求項11記載の半導体装置の製造方法。
  14. 前記第一の絶縁膜はSiNCH膜、SiOCH膜、有機SOG膜及びHSQ膜からなる群から選ばれたスピンオン膜であることを特徴とする請求項11記載の半導体装置の製造方法。
  15. 前記第二の絶縁膜は有機材料であり、且つ、誘電率が3.0以下であることを特徴とする請求項11記載の半導体装置の製造方法。
  16. 前記第二の絶縁膜は芳香族有機材料であることを特徴とする請求項11記載の半導体装置の製造方法。
  17. 前記第二の絶縁膜はSiNCH膜、SiOCH膜、有機SOG膜及びHSQ膜からなる群から選ばれたスピンオン膜であることを特徴とする請求項11記載の半導体装置の製造方法。
  18. 前記キュアを380℃乃至500℃の温度で、10秒乃至150秒の時間で行うことを特徴とする請求項11記載の半導体装置の製造方法。
  19. 前記キュアを400℃乃至470℃の温度で、10秒乃至150秒の時間で行うことを特徴とする請求項11記載の半導体装置の製造方法。
  20. 前記キュアを行うことにより、前記第一の絶縁層と前記第二の絶縁層との間にインターミキシング層を形成させることを特徴とする請求項11記載の半導体装置の製造方法。
JP2002507426A 2000-06-30 2001-06-28 半導体装置の製造方法 Expired - Fee Related JP5202784B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002507426A JP5202784B2 (ja) 2000-06-30 2001-06-28 半導体装置の製造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000199736 2000-06-30
JP2000199736 2000-06-30
PCT/JP2001/005578 WO2002003442A1 (en) 2000-06-30 2001-06-28 Fabrication process of a semiconductor device
JP2002507426A JP5202784B2 (ja) 2000-06-30 2001-06-28 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2004503083A true JP2004503083A (ja) 2004-01-29
JP5202784B2 JP5202784B2 (ja) 2013-06-05

Family

ID=18697707

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002507426A Expired - Fee Related JP5202784B2 (ja) 2000-06-30 2001-06-28 半導体装置の製造方法

Country Status (9)

Country Link
US (1) US6890848B2 (ja)
EP (1) EP1226604B1 (ja)
JP (1) JP5202784B2 (ja)
KR (1) KR100739955B1 (ja)
CN (1) CN1194393C (ja)
AU (1) AU2001267861A1 (ja)
DE (1) DE60126906T2 (ja)
TW (1) TW582064B (ja)
WO (1) WO2002003442A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100738A (ja) 2001-09-25 2003-04-04 Jsr Corp 積層体、積層体の形成方法、絶縁膜ならびに半導体用基板
DE60217247T2 (de) 2001-09-28 2007-10-04 Jsr Corp. Gestapelte Schicht, isolierender Film und Substrate für Halbleiter
US7442675B2 (en) * 2003-06-18 2008-10-28 Tokyo Ohka Kogyo Co., Ltd. Cleaning composition and method of cleaning semiconductor substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0820692A (ja) * 1994-07-07 1996-01-23 Nippon Zeon Co Ltd 環状オレフィン樹脂組成物およびその架橋物
JPH1064995A (ja) * 1996-08-23 1998-03-06 Sony Corp 半導体装置の製造方法
JP2000021873A (ja) * 1998-06-26 2000-01-21 Fujitsu Ltd 積層構造、配線構造、その製造方法、及び半導体装置
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2001093899A (ja) * 1999-09-22 2001-04-06 Tokyo Electron Ltd 絶縁膜形成方法及び絶縁膜形成装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6464995A (en) * 1987-09-07 1989-03-10 Toyo Kanetsu Kk Simple crane for shifting case
KR950034495A (ko) * 1994-04-20 1995-12-28 윌리엄 이.힐러 반도체 장치 제조를 위한 고 수율 광 경화 공정
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
SG71147A1 (en) * 1997-08-29 2000-03-21 Dow Corning Toray Silicone Method for forming insulating thin films
JP3226021B2 (ja) * 1997-09-02 2001-11-05 日本電気株式会社 半導体装置の製造方法
TW439197B (en) * 1997-10-31 2001-06-07 Dow Corning Electronic coating having low dielectric constant
US6114766A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Integrated circuit with metal features presenting a larger landing area for vias
US6083850A (en) * 1997-12-18 2000-07-04 Advanced Micro Devices, Inc. HSQ dielectric interlayer
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6521548B2 (en) * 2001-06-12 2003-02-18 Macronix International Co. Ltd. Method of forming a spin-on-passivation layer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0820692A (ja) * 1994-07-07 1996-01-23 Nippon Zeon Co Ltd 環状オレフィン樹脂組成物およびその架橋物
JPH1064995A (ja) * 1996-08-23 1998-03-06 Sony Corp 半導体装置の製造方法
JP2000021873A (ja) * 1998-06-26 2000-01-21 Fujitsu Ltd 積層構造、配線構造、その製造方法、及び半導体装置
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001093899A (ja) * 1999-09-22 2001-04-06 Tokyo Electron Ltd 絶縁膜形成方法及び絶縁膜形成装置

Also Published As

Publication number Publication date
JP5202784B2 (ja) 2013-06-05
CN1388985A (zh) 2003-01-01
DE60126906T2 (de) 2007-11-08
AU2001267861A1 (en) 2002-01-14
DE60126906D1 (de) 2007-04-12
KR100739955B1 (ko) 2007-07-16
CN1194393C (zh) 2005-03-23
EP1226604B1 (en) 2007-02-28
US20020164869A1 (en) 2002-11-07
WO2002003442A1 (en) 2002-01-10
EP1226604A1 (en) 2002-07-31
EP1226604A4 (en) 2004-11-24
US6890848B2 (en) 2005-05-10
KR20020027599A (ko) 2002-04-13
TW582064B (en) 2004-04-01

Similar Documents

Publication Publication Date Title
JP4166576B2 (ja) 多層スピンオン多孔性誘電体からなるlow−k配線構造
KR100773003B1 (ko) 하이브리드 유전체를 구비한 신뢰할 수 있는 저-k인터커넥트 구조
JP4790972B2 (ja) 2つのスピン・オン誘電材料から構成されるハイブリッド型低誘電率相互接続構造
US6939797B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6710450B2 (en) Interconnect structure with precise conductor resistance and method to form same
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
US5710460A (en) Structure for reducing microelectronic short circuits using spin-on glass as part of the interlayer dielectric
JPH1074755A (ja) マイクロエレクトロニク構造および形成方法
US5517062A (en) Stress released VLSI structure by the formation of porous intermetal layer
US7534717B2 (en) Method of manufacturing semiconductor device
JP2002270612A (ja) 相互接続構造の形成方法
JP3777182B2 (ja) 相互接続構造中の熱機械的応力を低減する方法及び相互接続構造を形成する方法
JP5202784B2 (ja) 半導体装置の製造方法
JPH10214892A (ja) 半導体装置の製造方法
JP2004165658A (ja) 表面の細孔形成剤の部分燃焼によって生成される接着性を改善したポーラス低誘電率誘電体の相互接続
JP2002093798A (ja) 多層配線形成方法
KR20040001501A (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120508

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130213

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees