JP2003522839A - 凝縮被膜生成法 - Google Patents

凝縮被膜生成法

Info

Publication number
JP2003522839A
JP2003522839A JP2001559904A JP2001559904A JP2003522839A JP 2003522839 A JP2003522839 A JP 2003522839A JP 2001559904 A JP2001559904 A JP 2001559904A JP 2001559904 A JP2001559904 A JP 2001559904A JP 2003522839 A JP2003522839 A JP 2003522839A
Authority
JP
Japan
Prior art keywords
gas
temperature
substrate
condensation
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001559904A
Other languages
English (en)
Other versions
JP4789384B2 (ja
Inventor
ジューゲンゼン、ホルガー
カペラ、ジョアン
ストラウハ、ゲルト
シェミッツ、デイトマー
Original Assignee
アイクストロン、アーゲー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アイクストロン、アーゲー filed Critical アイクストロン、アーゲー
Publication of JP2003522839A publication Critical patent/JP2003522839A/ja
Application granted granted Critical
Publication of JP4789384B2 publication Critical patent/JP4789384B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0015Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterized by the colour of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/006Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterized by the colour of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Electroluminescent Light Sources (AREA)
  • Materials For Medical Uses (AREA)
  • Physical Vapour Deposition (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

(57)【要約】 【課題】 装置の効率を高め、基板に凝縮する皮膜の品質を向上させる。 【解決手段】 例えばOLDEのように皮膜される基板を製作する方法および装置について開示され、少なくとも1つの皮膜が凝縮法により少なくとも一つの基板に生成され、少なくとも反応ガスの一部に固体および/または液体の前駆体および特に少なくとも1つの昇華材料源が使用される。本発明は、前駆体材料源と基板の間の反応ガスの温度制御によって、基板の手前における反応ガスの凝縮が防止されることを特徴としている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、例えばOLEDまたは類似の被膜組織の皮膜システムを凝縮被膜生
成法によって作製する方法および装置に関するものである。この被膜システムは
、たとえば「小さな分子」(例えばAlg3)または重合体(例えばPPV)の
ような特に有機材料で構成される。
【0002】
【従来の技術】
特に有機材料の部品の製作における凝縮被膜生成法は既知である。この方法に
おいて生成すべき被膜の成分はガス状および/または有機的結合(塩)によって
被膜室(以下反応室と呼ぶ)に供給される。
【0003】 基板(多くはガラス、箔または樹脂)の被膜生成は凝縮過程に基いて行なわれ
、このとき基板はガス相の分子温度よりも低い温度に保持される。
【0004】 VPD法(蒸気相沈積)は異なった材料をガス相から分離するために使用され
る。有機被膜の分離の分野にもこの方法が実施される。VPD法は異なった反応
構想で管理される。例えば、ガスの流れが水平で被膜表面と並行に流れる水平管
式反応炉(旧式のVPE反応炉を応用したもの)である。効率を低下させる壁の
凝縮を回避するため反応炉は高温壁システムとして構成される。
【0005】 この方法またはこの既知の装置は殆どが平面の被膜生成用で、変化する基板形
状には使用できない。
【0006】 欠点は下記の通りである。 a)前駆体の昇華および導入に対するプロセス技術および形状との結合 b)被膜表面と比較して大きなシステム表面に対する反応炉形状の使用法、 すなわち流体力学的に基板の被膜の生成のため多量の前駆体が失われる c)b)に起因する高価な高温壁技術
【0007】 凝縮のプロセス原理に対応する蒸着設備では、材料源の材料はシステムと一体
化されているため材料源の流れは時間的に制御できない。これは急に投入するこ
とも遮断することもできない。時間的な制御は蒸発エネルギーを調整することに
よって行なわれる(Eビームまたは抵抗加熱)。さらにシステムは高温壁システ
ムとして構成されていないので、材料の相当の部分がシステムの壁および部品に
凝着して効率を低下させる。
【0008】 この技術の欠点は化学量の制御性の悪いことまたは多層被膜の要求に対して過
渡部が敏感なことである。
【0009】 CVDシステムでは材料源は個別に時間的および量的に正確に制御できるが、
材料源からの移動は昇華の原理ではなく蒸発である。このCVDシステムでは被
膜生成方法は凝縮ではなく分子運動または拡散が制約された成長(化学的反応)
である。この方法および装置は殆どが平面用で変化する基板の形状には使用され
ない。
【0010】 代替の方法はスピンオンまたはOMBDである。
【0011】 上述の方法および装置は、例として述べた被膜システムの製作に対する要求を
、化学子量の正確な制御、多層皮膜の要求および経済性などの多くの特性につい
て満足していない。
【0012】
【本発明が解決しようとする課題】
本発明は一般的な方法を改善し、パラメータを個別に規定できるようにし、効
率を高め、基板に凝縮する被膜の品質を高めるという課題に基づくものである。
【0013】
【課題を解決するための手段】 この課題は請求項に示す本発明により解決される。付属請求項は本発明の有利
な展開を示すものである。
【0014】 皮膜形成法において特殊な前駆体の昇華、蒸発、ガス導入の形態および反応炉
の形態の組合せを使用することによって、固体前駆体から始まる凝縮皮膜形成に
対する制御性および経済性が改善される。この場合前駆体は個別に反応室の外側
で昇華されるか蒸発される。これらの初期物質は基板に選択的に凝縮することが
できる。基板に割り当てられたマスクによって構造化が行なわれる。マスクは基
板上に固定される。
【0015】 すべての反応炉の構想は、反応容器へガスを供給した後における前駆体の昇華
の種類は主要物質のガス相の化学作用およびその輸送の方法により左右され、沈
積される皮膜の性質も左右される、すなわちガス供給の方法がプロセス制御を支
配すると考えられている。
【0016】 この特性は、例えば(すなわち異分子/物質なしで)粒子および/または欠陥
密度、多物質システムの構成、皮膜の光学的および電気的特性ならびに沈積効率
である。従来技術で使用されるガス導入装置は流体力学的かまたは熱力学的な課
題の何れかだけを満足している。
【0017】 望ましくない沈積が供給部の領域で行なわれることが多い。これは入口領域が
高過ぎるか(すなわち動的に制約される沈積)または低過ぎる表面温度(すなわ
ち凝縮または低熱体)に調整されているか、または供給区間または室の内部で流
れおよび/または拡散によってガスの混合(核生成=均一なガス相での反応)が
生ずる場合である。付随する余分な蓄積によってガス供給の特性(熱的および/
または化学的)はプロセスの進行とともに変化し、連続的で均等な沈積について
制御することは保証されない。付随する余分な蓄積は後に続く皮膜に個別の成分
を流出吸収させる。さらにこれらの蓄積は特に供給部の形態が利用面積に比べて
広い面積を有する場合に成分の効率を低下させる。
【0018】 さらにガス導入装置は、一般に前駆体の熱的に異なる特性のたる必要なガスの
効果的な分離が確実に行なわれるように構成されていない。このため、例えば粒
子または汚染のように沈積される皮膜の特性にマイナスの影響を与える、ガス相
における幾つかのガスの望ましくない反応(すなわち核生成)が発生する。核生
成は材料の効率を低下させ、核生成物との結合による皮膜の汚染を生ずる。
【0019】 上述の欠点を低減するため、現在のガス入口は一般にプロセス技術的に皮膜形
成する表面から空間的に、またはプロセスパラメータ(例えば非常に低い圧力ま
たは大きなレイノズル数)の選択によって離れて配置させる。現在既知の反応炉
は効率が低い(25%より相当に低い)特徴があり、供給された成分の僅かな割
合だけが使用可能な機能的皮膜に沈積される。
【0020】 したがって、このようなシステムで製作された皮膜の特性は最適ではなく、ま
たこのようなシステムの経済性も低い。
【0021】 固体前駆体の昇華のため、普通は容器圧力および材料源の材料の温度の選定に
よって、固体相から直接ガス状となる、すなわち昇華する材料が使用される。材
料源の材料の蒸気圧力が非常に低いと高い温度が必要となる。したがって従来の
技術では幾つかの前駆体が反応炉の胴体に導入される。使用される高温壁システ
ムでは反応炉の温度は、それぞれの区間毎にそれぞれの前駆体に必要な昇華温度
に調整するよう構造体全長にわたって設定される。この構成の欠点は、最適昇華
温度の不正確な調整、蒸発装置の大きな空間、反応炉のプロセス圧力と無関係に
それぞれの前駆体に対し分離できない圧力の調整、駆動体毎の柔軟性がなく分離
できない温度調整である。しかし重大な欠点は、蒸発材料源が皮膜領域に直接的
に影響するので、時間的に制御できない材料源の流れである。
【0022】 ここで紹介する技術的な示唆は、すべての上記の欠点を除去し、使用要求条件
に応じて適合する方法および装置を使用することができる。
【0023】 初期物質(前駆体)の昇華装置は、構成的に反応炉から分離し前駆体毎に個別
に構成する。これによってそれぞれの前駆体の移動量は柔軟性を持って最適に制
御し、調整することができる。それぞれの前駆体は反応炉のパラメータと無関係
に個別に時間的に正確に制御することができる。
【0024】 入口の形状は皮膜生成表面のための最小室表面を確保し(ほぼ1:1)、プロ
セスの効率を最大とする。入口の形状の構成は、基本的に前駆体同士の反応およ
び入口自身の表面に対する付随する余分な蓄積を防止する。
【0025】 前駆体の入口の形状の構成は、反応炉の形状と関連してすべての材料を時間的
に正確な制御によって確実に均等に配分する。
【0026】 目標とする皮膜は、成分、皮膜厚さの均一性、および1%の範囲内での成分構
成を特徴とする。さらにこの装置および方法によって、過渡部における材料およ
び成分構成を正確に再現可能に調整できる。本発明によって粒子の生成は防止す
ることができる。
【0027】 初期物質(前駆体)の昇華場所は反応室から分離されて構成される。このとき
初期物質が最小時間でガス入口に供給されるような配置が選定される。このため
皮膜生成システムは初期物質容器を直ぐ近くに、例えば反応炉カバーに配置する
。短い配管路が材料を直接ガス導入装置に供給する。
【0028】 初期物質のタンクは、個別に反応炉の温度と関係なく加熱される。このためタ
ンクの周囲の抵抗加熱が使用されるか、タンク周囲の周板空洞にサーモスタット
により温度調整された液体をポンプ供給する。
【0029】 タンクの圧力はタンク出口側の制御バルブで個別に反応炉と関係なく制御され
る。制御バルブは加熱され、材料通路の過程で局部的な凝縮を確実に防止するた
め高温側の温度勾配に調整される。
【0030】 昇華した初期物質の反応炉への輸送はガス流によって支援される。これらのガ
スは供給配管における前駆体濃度の調整にも使用される。
【0031】 時間的制御のため反応炉への初期物質の配管は圧力バルブおよび質量流調節器
によって制御され、絞りバルブを完全に閉じると質量流はゼロに設定される。
【0032】 この配置は反応炉に多くの方法で繰返すことができるので、それぞれの材料は
互いに無関係に制御される。
【0033】 ガス入口は反応炉の基板に対して、多くのノズルを持った一つの平面(以下シ
ャワーヘッドと呼ぶ)として構成され、以下プレナムと呼ぶ。ノズルは前駆体の
特性、例えば粘度、質量および濃度に応じて室内に乱流のない噴射を確実に行な
うように設計される。
【0034】 ノズルとノズルの間隔はガス入口との距離との関係で最適化され、すなわちノ
ズルから噴出する「ジェット」は基板表面の前で衰え全体として均等な流れの面
を形成する。
【0035】 ノズルは初期物質の噴射の分布が基板の形状に対して均等となるよう管理する
ため、個別にまたは全体としてガス入口表面に対し任意の角度で形成される。
【0036】 ノズルが初期物質の噴射のため設けられる面は、平らな基板の皮膜生成に対し
ては平面でよく、平面ではなく変形した基板に対してはシートでも湾曲したもの
でもよい。
【0037】 プレナム全体は空洞壁構造の冷却材、または電気的加熱器(抵抗加熱、ペルチ
ェ)によって熱的に積極的に制御され、昇華温度に対して高温側の温度勾配に調
整される。
【0038】 プレナムの内部空間には昇華した初期物質が非常に短い温度調整された配管に
よって注入される。
【0039】 ノズルを最適な流体力学的な条件に調整するため、初期物質に加えて搬送ガス
を供給して調整する。
【0040】 これらのガスはさらに、室内への前駆体の供給および遮断を時間的に制御する
ため、プレナムを確実に迅速に掃気する。
【0041】 上記の形態はそれぞれの前駆体で構成される多種物質に一般的に使用される。
この場合は「近接して結合されたシャワーヘッド」技術を利用して、前駆体毎の
分離噴射が確実に行なわれる。それぞれのプレナムの個別の加熱は、それぞれの
初期物質が余分な凝縮をすることを防止するため、高温側の温度勾配と比較して
行なわれる。ノズルは前駆体の局部的な混合がノズルで発生しないよう寸法決定
され相互に配置される。平面状でのプレナムの配置は、長い方のノズルに含まれ
る前駆体の凝縮を防止するため、次の高温側の温度勾配のプレナムと熱的に接触
するよう選定される。
【0042】 初期物質として、特にアメリカ特許5554220に説明される塩が考えられ
る。この塩は蒸発器で昇華される。蒸発器は特にドイツ特許申請DE10048
759に説明されるような形態とすることができる。ここでガスは蒸発器の塩が
山積みにされたフリットの下側に供給される。フリットまたは山積みの上側から
ガス状の初期物質で飽和されたガスが排出される。下流側の配管の適切な高温、
または初期物質の分圧を飽和分圧より下側に希釈することによって凝縮は回避さ
れる。
【0043】 以下本発明の実施例を添付した図面によって説明する。
【0044】
【発明の実施の形態】
図1および5に図示した装置はそれぞれ2つの温度調整される容器5、5'を
備えている。図1に図示した装置においてはこの容器は直接反応炉10のカバー
14に配置される。図5に図示した実施例では両方の容器5、5'は反応炉10
から幾分離れて配置される。容器5、5'にはタンク1、3が設けられる。この
タンクは初期物質の材料源の作用をする。タンク1、3には液体の初期物質2、
4が入れられる。初期物質は固体であってもよい。温度調整される容器5、5'
の内部はタンク1、3に入っている初期物質2、4が蒸発する温度になっている
。蒸発率は温度によって支配される。実施例では容器5に3つの材料源、容器5
'に同様に3つの材料源が配置される。両方の容器5、5'は異なった温度に保持
される。
【0045】 両方の容器5、5'のそれぞれは搬送ガス35を供給するため搬送ガス配管が
設けられる。搬送ガス配管はタンク1、3から流出するガス状の初期物質用の排
出管が各材料源に開口している。タンク1、3は耐熱バルブ特に制御バルブ34
によって、自分自身も加熱することができるよう閉じたり開いたりすることがで
きる。搬送ガスおよび搬送ガスによって輸送されるプロセスガスが流れる配管6
、7が、図1による実施例では直接反応炉に開口する。図5による実施例では、
両方の配管6、7は温度調整被覆8、9によって容器5、5'の温度に等しいか
高い温度に保持される自由区間を経て延びる。配管6、7は反応炉に開口する。
反応ガスの配分は容器5、5'の温度または制御バルブ34によって行われる。
【0046】 配管6、7が開口する範囲の反応炉カバー14は、温度調整される容器5、5
'より高い温度に保持される。配管6、7は直接反応室11に開口せず最初は間
隔29だけ反応炉カバーから離れたガス導入装置15に開口する。典型的に構成
されたガス導入装置を図2および62示す。
【0047】 ガス導入装置15は基板12の直ぐ上にある。基板12とガス導入装置15の
底板17の間に反応室がある。基板12は冷却される支持体13上に置かれる。
支持体の温度は調整される。このため支持体にペルチェ素子を設けることができ
る。しかし図1に示したように支持体13の内部に空間室41を設け、掃気配管
40によって冷却流体を注入し、支持体13の温度をガス導入装置15の温度よ
り低い温度に保持することもできる。
【0048】 この温度は反応炉の壁37の温度よりも低い。ガス導入装置15の温度はガス
状でガス導入装置15に供給される初期物質2、4の凝縮温度より高い。反応炉
の壁37の温度も凝縮温度より高いので、ガス導入装置15から流出した分子は
専ら支持体13の上に置かれた基板12に凝縮する。
【0049】 図2または6に示したガス導入装置15は、いわゆる既知の「シャワーヘッド
」である。図2の実施例は全部で2つの互いに分離した空間22、23を示す。
空間は中間板18によって互いに、およびカバー板16または底板17によって
反応室11に対して仕切られる。図6による「シャワーヘッド」はただ一つの室
を持っている。この空間22は底17、リング33およびカバー板16によって
仕切られる。カバー板16に両方の初期物質用の既述した配管6、7が開口する
。図6による実施例では一つの配管6だけが必要である。配管6または7は、カ
バー板16に設けられる星形に半径方向に延びるダクト21または20に開口す
る。ほぼ円筒形の物体であるガス導入装置15の縁の範囲で方向を変えた後、ダ
クト20または21は円筒形の空間22、23の外周にある半径方向外側の開口
漏斗27または28に開口する。開口漏斗27、28から流出したガスは空間2
2、23に均等に配分される。
【0050】 複数室のシャワーヘッドに設けられる中間板18は、短管24が突き出す開口
を有し空間23を貫通して、空間22に存在するガスが空間23に存在するガス
と接触しないように底板17に結合される。底板17は短管24の開口26とず
らして開口25が設けられ、ここから空間23にあったガスが流出することがで
きる。
【0051】 空間22、23にあったガスはノズル上に形成された開口25、26を通って
均等な流れの場に流出する。
【0052】 開口25、26から流出するガスは乱流である。これらはそれぞれ噴射を形成
するので隣接して並んだ開口25、26から流出したガス流は基板12の真上は
じめて、図6でdの記号を付した限界層で混合する。限界層dより上では噴射3
6は互いにほぼ平行に、相互間の混合は殆ど生ずることなく延びる。距離dにお
いてほぼ均等なガス前面が形成される。
【0053】 図2に示した実施例では、両側の空間22、23は互いに無関係にサーモスタ
ットにより温度調整される。図6に示した実施例では、唯一の空間22がサーモ
スタットにより温度調整される。空間22、23を容器5、5'の温度より高く
、支持体13の温度より相当高い事前に設定した温度に制御するため、加熱コイ
ル30、32が設けられる。加熱コイル30、32の代わりにダクトを板17、
18、16に設け、温度調整した流体を貫流させることも考えられる。
【0054】 リング33は同様な方法で加熱される。リングには適切な方法で加熱コイルを
配置することができる。しかし適切な温度調整された液体で温度を保持すること
もできる。
【0055】 実施例ではカバー板16の下側に加熱板31が設けられる。図3により加熱板
31に波形模様の加熱コイルが取り付けられていることが分る。図6のガス導入
装置15のカバー板も加熱することができる。
【0056】 底板17にも加熱コイルが波形模様に取り付けられる(図4参照)。
【0057】 被膜用の初期物質としてUS特許5554220に説明されるような塩が使用
される。塩の層の上を流れる搬送ガスをタンクに供給しながら、この塩をタンク
で昇華させる。このような蒸発器はDE10048759.9に説明されている
【0058】 図8に液体用の蒸発器の別の例を示す。搬送ガス42は三方バルブを経て配管
によって液体または固体の初期物質2に供給される。初期物質2は排出配管およ
び加熱されたバルブ34を通ってタンク1から排出される。配管6を経て初期物
質は搬送ガス35によってガス導入装置15に導入される。搬送ガス42による
タンクの掃気は三方バルブの開閉によって行なわれる。閉じられた状態で搬送ガ
ス42はバイパス配管44を通って直接排出管または配管6に流れる。ガス流4
2およびガス流35は質量流を制御される。三方バルブ34の切り替え時に質量
流42に影響を与えないため、バイパス配管44にタンク全体と同じ流れの抵抗
を持たせる。
【0059】 図1または5のそれぞれに示したタンク1、3は、図8に示したものか、また
はDE10048759.9に説明されるものと同じ形態および接続を備える。
【0060】 搬送ガス35による希釈によって、タンク13に続く配管システムまたはガス
導入装置15の内部における初期物質2または初期物質3の分圧の低下が達成さ
れる。この希釈によって、個々の初期物質の分圧は飽和蒸気圧力よりも低いので
、下流側に続く配管6、7またはガス導入装置15の温度を、凝縮を起こすこと
なく容器5、5'の温度より低くすることができる。
【0061】 特に反応炉壁の外部に配置され、ダクト39によって反応室11に接続される
1つまたは多数のセンサ38によって、基板の温度を測定することができる。
【0062】 隙間29に供給されたガスは、適切な成分の選定によってその熱伝導率を変化
させることができる。ガス成分の選定によりガス導入装置15に出入りする熱の
移動を調整することができる。この方法によって温度も影響を受ける。
【0063】 開示されたすべての特徴は本発明に対し基本的なものである。従って、対応す
る/添付の優先書類(事前出願のコピー)の開示もまたすべて本出願の開示内に
含まれるものであり、その目的のためこれらの書類の特徴もこの出願の請求事項
に含まれるものである。
【発明の効果】
装置の効率を高め、基板に凝縮する皮膜の品質を向上させる方法を提供する。 [図面の簡単な説明]
【図1】 本発明による装置の図式的概略図である。
【図2】 図1による装置に使用されるガス導入装置の図式的概略図である。
【図3】 ガス導入装置の線III−IIIによる断面である。
【図4】 ガス導入装置の線IV−IVによる断面である。
【図5】 装置の第2の実施例に対する図式的概略図である。
【図6】 ガス導入装置の第2の実施例である。
【図7】 プロセスバラメータに対する説明である。
【図8】 初期物質の材料源の図式的な図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成14年2月1日(2002.2.1)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,US,UZ, VN,YU,ZA,ZW (72)発明者 シェミッツ、デイトマー ドイツ国、52072 アーヘン、ロンヴェグ 41 Fターム(参考) 4K029 AA09 AA11 BA62 CA01 DB15 4K030 AA17 AA18 EA05 EA06 GA02 JA03 JA04 JA09 JA10 JA12 KA24 KA41

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 反応ガスの少なくとも一部に固体および/または流体の初期物質
    および特に少なくとも一つの昇華材料源が使用され、少なくとも一つの皮膜を凝
    縮法によって少なくとも一つの基板に形成させる基板に皮膜を生成するための方
    法において、 材料源(1、3)および基板(12)の間の反応ガスの濃度制御および/また
    は温度制御によって、反応ガスの基板の手前における凝縮が防止されることを特
    徴とする方法。
  2. 【請求項2】 特に、付随する余分なガス相の反応を抑制するため、ガス導入装
    置(15)がガスの分離を考慮した入口の形態を使用することを特徴とする請求
    項1による方法。
  3. 【請求項3】 特に、材料源(1、3)が異なる温度に保持されることを特徴と
    する請求項1および2の何れかによる方法。
  4. 【請求項4】 特に、多数の噴射配置(25、26)を使用することを特徴とす
    る請求項1ないし3の何れかによる方法。
  5. 【請求項5】 特に、付随する余分な沈積およびそれに伴うガス相からの損失を
    低減するため、個々の反応ガスを重複しない流れとして噴射することを特徴とす
    る請求項1ないし4の何れかによる装置。
  6. 【請求項6】 特に、個々の噴射ノズルまたは噴射領域におけるガス出口速度を
    局部的なベルヌーイ効果を防止するように選択することを特徴とする請求項1な
    いし5の何れかによる装置。
  7. 【請求項7】 特に、初期物質のタンク(1、3)内の圧力を、それぞれ不活性
    ガス掃気(35)および制御バルブ(34)によって反応室(11)の圧力と無
    関係に制御することを特徴とする請求項1ないし6の何れかによる装置。
  8. 【請求項8】 ・反応室(11)、 ・少なくとも一つの支持体(13)、および ・少なくとも一つの初期物質用の材料源(1、3)を有するガス導入システム(
    5、5')、を備えた凝縮皮膜生成のための装置において、 材料源(1、3)貯蔵容器、支持体(13)、反応炉壁およびガス導入装置が
    、別々に反応炉壁(37)、ガス導入装置(15)および前駆体貯蔵容器(1、
    3)が、支持体(13)上の基板(12)よりそれぞれ高い温度に制御できるよ
    うサーモスタットによる調整が可能であることを特徴とする装置。
  9. 【請求項9】 特に、材料源(1、3)は別々にサーモスタットによる温度調整
    ができるので、すべての室表面および入口表面を高温側の熱勾配に調整が可能で
    あり、および 圧力および温度によってガス状の初期物質の輸送量のも制御可能であることを
    特徴とする請求項1ないし8の何れかによる装置。
  10. 【請求項10】 特に、1つまたはすべての貯蔵容器(1、3)を液体または電
    気的な部品によってサーモスタットによる温度調整を実施することを特徴とする
    請求項1ないし9による何れかの装置。
  11. 【請求項11】 特に、プロセス温度より高い温度によって貯蔵容器の清掃が可
    能となるよう加熱装置が設けられていることを特徴とする請求項1ないし10の
    何れかによる装置。
  12. 【請求項12】 特に、ガス導入装置(15)が1つまたは多数の分離されたプ
    レナム(空間22、23)を有する単室または多数室のシャワーヘッドとして構
    成されていることを特徴とする請求項1ないし11による何れかの装置。
  13. 【請求項13】 特に、搬送ガスとしてAr、H2、N2、Heが個別にまたは
    混合して使用されることを特徴とする請求項1ないし12の何れかによる装置。
  14. 【請求項14】 特に、ガス状の初期物質がプレナム(22、23)毎に分離さ
    れてノズル(25、26)を経て反応室(11)に供給されるので、材料源の材
    料はガス入口から流出した後、特に基盤(12)の直前で初めて混合することが
    できることを特徴とする請求項1ないし13の何れかによる装置。
  15. 【請求項15】 特に、2つまたは多数のガス状の初期物質がそれぞれのプレナ
    ム(22、23)に分離され、ノズル(25、26)を経て反応室に供給される
    ことを特徴とする請求項1ないし14の何れかによる装置。
  16. 【請求項16】 特に、それぞれのプレナムのノズル(25、26)が基板(1
    2)に対して任意の角度で配置されることを特徴とする請求項1ないし15の何
    れかによる装置。
  17. 【請求項17】 特に、それぞれプレナム(22、23)のノズル(25、26
    )が同一または異なる直径によって構成されるので、同一または異なる粘度の初
    期物質の質量流が均等に分布して噴射されることが保証されることを特徴とする
    請求項1ないし16の何れかによる装置。
  18. 【請求項18】 特に、それぞれのプレナムのノズル(25、26)が同一また
    は異なる間隔を持ち、均等で密集した噴射の配分が行なわれるよう構成されるこ
    とを特徴とする請求項1ないし17の何れかによる装置。
  19. 【請求項19】 特に、それぞれのプレナム(22、23)が別個にサーモスタ
    ットにより温度調整されるので、相当に異なる条件で昇華する初期物質を使用す
    ることができることを特徴とする請求項1ないし18の何れかによる装置。
  20. 【請求項20】 特に、1つまたはすべてのプレナム(22、23)に対する液
    体または電気的部品(30、32)によって行なわれるサーモスタットによる温
    度調整、および それぞれのプレナム(22、23)内の初期物質の凝縮が防止されることを特
    徴とする請求項1ないし19の何れかによる装置。
  21. 【請求項21】 特に、反応炉壁にセンサ(38)および付随するダクト(39
    )が設けられ、皮膜の特性および/または基板(12)の表面の測定が可能であ
    ることを特徴とする請求項1ないし20の何れかによる装置。
  22. 【請求項22】 特に、支持体(13)が円形、角形、平面、湾曲形またはシー
    ト状の基板を収納するように構成されていることを特徴とする請求項1ないし2
    1の何れかによる装置。
  23. 【請求項23】 特に、周板空洞(41)内の液体または電気的部品(ペルチェ
    /抵抗加熱器)によって、支持体を支持体表面およびその他のすべての壁(37
    )およびガス相との間で低温側の温度勾配に保持し、凝縮による基板の皮膜生成
    を制御することができることを特徴とする請求項1ないし22の何れかによる装
    置。
  24. 【請求項24】 特に、支持体(13)および反応室(11)の清掃をプロセス
    温度より高くした温度で実施できるように、支持体(13)に加熱装置が設けら
    れることを特徴とする請求項1ないし23の何れかによる装置。
  25. 【請求項25】 特に、凝縮温度が材料源温度より低くなるようにするため、タ
    ンク(1、3)から流出したガスの配管(6)またはガス導入装置(15)内の
    初期物質の濃度を搬送ガス(35)により希釈することを特徴とする請求項1な
    いし24の何れかによる装置。
  26. 【請求項26】 特に、基板は皮膜生成の過程で、例えばシャドウマスクなどに
    よりマスクされることを特徴とする請求項1ないし25の何れかによる装置。
  27. 【請求項27】 特に、突然の質量流の変化を防止するため、タンクに対する制
    御された質量流をバイパス配管(44)に迂回させることができることを特徴と
    する請求項1ないし26の何れかによる装置。
JP2001559904A 2000-02-16 2001-02-15 凝縮被膜生成法 Expired - Fee Related JP4789384B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10007059A DE10007059A1 (de) 2000-02-16 2000-02-16 Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE10007059.0 2000-02-16
PCT/EP2001/001698 WO2001061071A2 (de) 2000-02-16 2001-02-15 Kondensationsbeschichtungsverfahren

Publications (2)

Publication Number Publication Date
JP2003522839A true JP2003522839A (ja) 2003-07-29
JP4789384B2 JP4789384B2 (ja) 2011-10-12

Family

ID=7631198

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001559904A Expired - Fee Related JP4789384B2 (ja) 2000-02-16 2001-02-15 凝縮被膜生成法

Country Status (9)

Country Link
US (1) US7201942B2 (ja)
EP (1) EP1255876B1 (ja)
JP (1) JP4789384B2 (ja)
KR (1) KR100780142B1 (ja)
AT (1) ATE246268T1 (ja)
AU (1) AU2001231753A1 (ja)
DE (2) DE10007059A1 (ja)
TW (1) TWI227748B (ja)
WO (1) WO2001061071A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006057173A (ja) * 2004-08-24 2006-03-02 Tohoku Pioneer Corp 成膜源、真空成膜装置、有機elパネルの製造方法
JP2007506271A (ja) * 2003-09-17 2007-03-15 アイクストロン、アーゲー 液状又は溶液の原料を用いマルチチャンネル装置を介して不連続に射ち込み、単元又は多元の層及びスタック層を堆積する方法及び装置
JP2008506617A (ja) * 2004-07-15 2008-03-06 アイクストロン、アーゲー SiとGeを含有する膜の堆積方法
JP2011117030A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 蒸着重合装置
JP2011522129A (ja) * 2008-06-03 2011-07-28 アイクストロン、アーゲー 低圧ガス相の中で薄膜ポリマーを堆積させるための堆積方法
JP2012102409A (ja) * 2000-03-16 2012-05-31 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3682465B2 (ja) * 1999-03-31 2005-08-10 独立行政法人産業技術総合研究所 樹脂成形物表面層の改質方法およびそのための装置および表面層が改質された樹脂成形物、および樹脂成形物表面層の着色方法およびそのための装置および表面層が着色された樹脂成形物、および表面層の改質により機能性を付与された樹脂成形物
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
CN1287002C (zh) * 2001-09-04 2006-11-29 普林斯顿大学理事会 喷射沉积有机物蒸汽的方法和装置
US7744957B2 (en) 2003-10-23 2010-06-29 The Trustees Of Princeton University Method and apparatus for depositing material
US7404862B2 (en) 2001-09-04 2008-07-29 The Trustees Of Princeton University Device and method for organic vapor jet deposition
US7431968B1 (en) 2001-09-04 2008-10-07 The Trustees Of Princeton University Process and apparatus for organic vapor jet deposition
US8535759B2 (en) 2001-09-04 2013-09-17 The Trustees Of Princeton University Method and apparatus for depositing material using a dynamic pressure
US20030111014A1 (en) * 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
DE10212923A1 (de) * 2002-03-22 2004-01-08 Aixtron Ag Verfahren zum Beschichten eines Substrates und Vorrichtung zur Durchführung des Verfahrens
US7208195B2 (en) * 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
JP2004055401A (ja) * 2002-07-22 2004-02-19 Sony Corp 有機膜形成装置
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7067170B2 (en) 2002-09-23 2006-06-27 Eastman Kodak Company Depositing layers in OLED devices using viscous flow
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
DE10256850A1 (de) * 2002-12-04 2004-06-24 Basf Ag Verfahren und Aufdampfung von Verbindung(en) auf einen Träger
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
JP4463492B2 (ja) * 2003-04-10 2010-05-19 株式会社半導体エネルギー研究所 製造装置
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
KR101137901B1 (ko) * 2003-05-16 2012-05-02 에스브이티 어소시에이츠, 인코포레이티드 박막 증착 증발기
DE10324880B4 (de) * 2003-05-30 2007-04-05 Schott Ag Verfahren zur Herstellung von OLEDs
US6837939B1 (en) * 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US20050079278A1 (en) * 2003-10-14 2005-04-14 Burrows Paul E. Method and apparatus for coating an organic thin film on a substrate from a fluid source with continuous feed capability
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4607474B2 (ja) * 2004-02-12 2011-01-05 東京エレクトロン株式会社 成膜装置
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US20050281948A1 (en) * 2004-06-17 2005-12-22 Eastman Kodak Company Vaporizing temperature sensitive materials
JP4545504B2 (ja) * 2004-07-15 2010-09-15 株式会社半導体エネルギー研究所 膜形成方法、発光装置の作製方法
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
KR100583542B1 (ko) * 2004-11-06 2006-05-26 주식회사 아이피에스 박막증착장치
KR100965408B1 (ko) * 2004-12-02 2010-06-24 엘아이지에이디피 주식회사 Oled용 증착장치
US7776456B2 (en) * 2004-12-03 2010-08-17 Universal Display Corporation Organic light emitting devices with an emissive region having emissive and non-emissive layers and method of making
FR2878863B1 (fr) * 2004-12-07 2007-11-23 Addon Sa Dispositif de depot sous vide a reservoir de recharge et procede de depot sous vide correspondant.
JP4560394B2 (ja) * 2004-12-13 2010-10-13 長州産業株式会社 薄膜形成用分子供給装置
US20060134301A1 (en) * 2004-12-22 2006-06-22 Unilever Bestfoods, North America, Division Of Conopco, Inc. Method for making a food composition with a preservative free enhancer and a food composition
EP1752555A1 (de) * 2005-07-28 2007-02-14 Applied Materials GmbH & Co. KG Verdampfervorrichtung
KR20080041259A (ko) * 2005-09-06 2008-05-09 고쿠리츠다이가쿠호진 도호쿠다이가쿠 성막용 재료 및 성막용 재료의 추정 방법
US20090087545A1 (en) * 2005-09-20 2009-04-02 Tadahiro Ohmi Film Forming Apparatus, Evaporating Jig, and Measurement Method
US8357434B1 (en) 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
KR100764627B1 (ko) * 2006-02-01 2007-10-08 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
DE102007020852A1 (de) * 2007-05-02 2008-11-06 Stein, Ralf Gasversorgungssystem und Verfahren zur Bereitstellung eines gasförmigen Abscheidungsmediums
DE102007030499A1 (de) 2007-06-30 2009-01-08 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden von insbesondere dotierten Schichten mittels OVPD oder dergleichen
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
DE102008026974A1 (de) * 2008-06-03 2009-12-10 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
KR100994920B1 (ko) * 2008-06-05 2010-11-17 주식회사 소로나 기상 자기조립 단분자막 코팅장치
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8801856B2 (en) 2009-09-08 2014-08-12 Universal Display Corporation Method and system for high-throughput deposition of patterned organic thin films
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9634165B2 (en) * 2009-11-02 2017-04-25 International Business Machines Corporation Regeneration method for restoring photovoltaic cell efficiency
TWI372081B (en) 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
DE102011051263B4 (de) * 2011-06-22 2022-08-11 Aixtron Se Vorrichtung zur Aerosolerzeugung und Abscheiden einer lichtemittierenden Schicht
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
KR20200124780A (ko) 2012-05-31 2020-11-03 엔테그리스, 아이엔씨. 배취식 침착을 위한 고 물질 플럭스를 갖는 유체의 소스 시약-기반 수송
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
DE102012022744B4 (de) * 2012-11-21 2016-11-24 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Vorrichtung zum Einstellen einer Gasphase in einer Reaktionskammer
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
WO2016037964A1 (de) * 2014-09-08 2016-03-17 Cynora Gmbh Verbesserte optisch aktive schicht und verfahren zur herstellung
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
DE102014115497A1 (de) 2014-10-24 2016-05-12 Aixtron Se Temperierte Gaszuleitung mit an mehreren Stellen eingespeisten Verdünnungsgasströmen
JP6442234B2 (ja) * 2014-11-07 2018-12-19 株式会社ニューフレアテクノロジー 気相成長装置、貯留容器および気相成長方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
GB201513339D0 (en) * 2015-07-29 2015-09-09 Pilkington Group Ltd Coating apparatus
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
DE102016118345A1 (de) 2016-08-01 2018-02-01 Aixtron Se Konditionierverfahren für einen CVD-Reaktor
CN109790621A (zh) 2016-08-09 2019-05-21 辛古勒斯技术股份公司 用于使基板同时旋转和悬浮的非接触式基板载体
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
DE102017106431A1 (de) * 2017-03-24 2018-09-27 Aixtron Se Vorrichtung und Verfahren zum Herabsetzen des Wasserpartialdrucks in einer OVPD-Beschichtungseinrichtung
KR102369676B1 (ko) * 2017-04-10 2022-03-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
KR20190090414A (ko) * 2018-01-24 2019-08-02 삼성디스플레이 주식회사 증착 장치
US11380523B2 (en) * 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
DE102019129789A1 (de) * 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
CN116670322A (zh) * 2020-12-19 2023-08-29 朗姆研究公司 利用多个均匀加热的进料容积的原子层沉积
DE102021120004A1 (de) 2021-08-02 2023-02-02 Thyssenkrupp Steel Europe Ag Beschichtungsanlage zur Beschichtung eines Gegenstands, Verfahren zum Beschichten eines Gegenstands sowie Verwendung

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62273714A (ja) * 1986-05-21 1987-11-27 Clarion Co Ltd 有機金属ガス供給方法および装置
JPH04114728A (ja) * 1990-09-04 1992-04-15 Matsushita Electric Ind Co Ltd 液体ソース供給装置
US5186410A (en) * 1991-06-12 1993-02-16 Toews Timothy R Wire reel mechanism
US5447568A (en) * 1991-12-26 1995-09-05 Canon Kabushiki Kaisha Chemical vapor deposition method and apparatus making use of liquid starting material
JP2987663B2 (ja) * 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5381605A (en) 1993-01-08 1995-01-17 Photonics Research Incorporated Method and apparatus for delivering gas
FR2707671B1 (fr) 1993-07-12 1995-09-15 Centre Nat Rech Scient Procédé et dispositif d'introduction de précurseurs dans une enceinte de dépôt chimique en phase vapeur.
JPH0781965A (ja) * 1993-07-22 1995-03-28 Sumitomo Electric Ind Ltd ガス生成装置並びに光導波路及び光ファイバ母材を製造する方法及び装置
DE69535412D1 (de) * 1994-04-08 2007-04-12 Canon Kk Verarbeitungssystem zur Herstellung von Halbleiterbauelementen
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5410178A (en) * 1994-08-22 1995-04-25 Northwestern University Semiconductor films
JPH08176826A (ja) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Cvd法による薄膜の堆積装置及び堆積方法並びに該堆積装置又は該堆積方法で用いられるcvd原料及び液体原料容器
US5776254A (en) 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5554220A (en) 1995-05-19 1996-09-10 The Trustees Of Princeton University Method and apparatus using organic vapor phase deposition for the growth of organic thin films with large optical non-linearities
JP3612839B2 (ja) * 1996-02-13 2005-01-19 三菱電機株式会社 高誘電率薄膜構造、高誘電率薄膜形成方法および高誘電率薄膜形成装置
JP3585633B2 (ja) * 1996-03-19 2004-11-04 株式会社アルバック 蒸着重合装置
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5882410A (en) * 1996-10-01 1999-03-16 Mitsubishi Denki Kabushiki Kaisha High dielectric constant thin film structure, method for forming high dielectric constant thin film, and apparatus for forming high dielectric constant thin film
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
EP0946782A1 (en) * 1996-11-27 1999-10-06 Emcore Corporation Chemical vapor deposition apparatus
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10330910A (ja) * 1997-06-04 1998-12-15 Toray Ind Inc シャドーマスクおよびその製造方法
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
EP0975821B1 (de) * 1998-02-18 2001-10-17 AIXTRON Aktiengesellschaft Cvd-reaktor und dessen verwendung
DE19813523C2 (de) 1998-03-26 2000-03-02 Aixtron Ag CVD-Reaktor und dessen Verwendung
JP2000001783A (ja) * 1998-06-15 2000-01-07 Sony Corp 成膜装置およびそのクリーニング方法
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012102409A (ja) * 2000-03-16 2012-05-31 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
JP2007506271A (ja) * 2003-09-17 2007-03-15 アイクストロン、アーゲー 液状又は溶液の原料を用いマルチチャンネル装置を介して不連続に射ち込み、単元又は多元の層及びスタック層を堆積する方法及び装置
JP4719679B2 (ja) * 2003-09-17 2011-07-06 アイクストロン、アーゲー 膜製造方法及び膜製造装置
JP2008506617A (ja) * 2004-07-15 2008-03-06 アイクストロン、アーゲー SiとGeを含有する膜の堆積方法
JP2006057173A (ja) * 2004-08-24 2006-03-02 Tohoku Pioneer Corp 成膜源、真空成膜装置、有機elパネルの製造方法
JP2011522129A (ja) * 2008-06-03 2011-07-28 アイクストロン、アーゲー 低圧ガス相の中で薄膜ポリマーを堆積させるための堆積方法
JP2011117030A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 蒸着重合装置

Also Published As

Publication number Publication date
EP1255876A2 (de) 2002-11-13
TWI227748B (en) 2005-02-11
EP1255876B1 (de) 2003-07-30
AU2001231753A1 (en) 2001-08-27
KR100780142B1 (ko) 2007-11-27
WO2001061071B1 (de) 2002-11-14
ATE246268T1 (de) 2003-08-15
DE50100443D1 (de) 2003-09-04
US20030054099A1 (en) 2003-03-20
WO2001061071A3 (de) 2002-06-20
KR20020089350A (ko) 2002-11-29
JP4789384B2 (ja) 2011-10-12
US7201942B2 (en) 2007-04-10
DE10007059A1 (de) 2001-08-23
WO2001061071A2 (de) 2001-08-23

Similar Documents

Publication Publication Date Title
JP2003522839A (ja) 凝縮被膜生成法
KR100780143B1 (ko) 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
US5835678A (en) Liquid vaporizer system and method
US5835677A (en) Liquid vaporizer system and method
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
CN102056679B (zh) 聚对苯二亚甲基或聚取代的对苯二亚甲基薄层的沉积方法和装置
TWI827623B (zh) 用於控制處理材料到沉積腔室的流動的設備及方法
JP5357050B2 (ja) プラズマアークコーティング用装置および方法
JP2005511894A (ja) 化学蒸着用ベーパライザ
JP2003527481A (ja) 蒸気圧の低い前駆体用のガス供給装置
US11788190B2 (en) Liquid vaporizer
US7462245B2 (en) Single-wafer-processing type CVD apparatus
JP2004115916A (ja) 有機物気相蒸着装置及び有機物気相の蒸着方法
JPH07166354A (ja) 液体沈着ソースガス放出システム
KR20050113549A (ko) 기화기 및 이를 사용한 각종 장치 그리고 기화방법
JP6688290B2 (ja) 複数箇所にて供給される希釈ガス流をもつ温度制御されたガス供給管
JP2003520903A (ja) 液体または溶解形状にある少なくとも1つの前駆体を少なくとも一つの基板に沈積させる方法および装置
JP2721222B2 (ja) プラズマcvd用原料ガス供給装置
JPH10147870A (ja) 液体原料の気化装置
JP2023052350A (ja) HfN膜
JP2019183284A (ja) Mocvd装置による窒化膜を成膜する成膜方法及び成膜装置、並びにシャワーヘッド
JPH02225677A (ja) 成膜方法
KR20080029378A (ko) 국부적인 양압과 마이크로 액적을 이용한 양압 코팅 방법및 그 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110628

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110719

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4789384

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees