JP2001516152A - 組み合わせcmpおよびウエハ洗浄器具および関連方法 - Google Patents

組み合わせcmpおよびウエハ洗浄器具および関連方法

Info

Publication number
JP2001516152A
JP2001516152A JP2000511187A JP2000511187A JP2001516152A JP 2001516152 A JP2001516152 A JP 2001516152A JP 2000511187 A JP2000511187 A JP 2000511187A JP 2000511187 A JP2000511187 A JP 2000511187A JP 2001516152 A JP2001516152 A JP 2001516152A
Authority
JP
Japan
Prior art keywords
station
wafer
workpiece
machine
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000511187A
Other languages
English (en)
Other versions
JP3417925B2 (ja
Inventor
ホセ アール. ゴンザルズ−マーティン,
クリス カールスラッド,
ロバート エフ. アレン,
トビー ジョーダン,
クレイグ エム. ハワード,
アーサー ヘイマー,
ジェフ カネン,
ペリヤ ゴパラン,
ウィリアム ソーントン,
ジョン アール. マサーニ,
フェルナンド カルデロン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Speedfam IPEC Corp
Original Assignee
Speedfam IPEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25453584&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2001516152(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Speedfam IPEC Corp filed Critical Speedfam IPEC Corp
Publication of JP2001516152A publication Critical patent/JP2001516152A/ja
Application granted granted Critical
Publication of JP3417925B2 publication Critical patent/JP3417925B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

(57)【要約】 ワークピース(例えば、半導体ウエハ)を研磨し、洗浄し、リンスし、そして乾燥するための一体化機械。装填/取出ステーションは、処理するウエハのカセットを受容するための複数のプラットホームを有する。ロボットのドライエンドエフェクターは、これらのカセットからウエハを回収して、指示テーブルへと運搬する。キャリヤー要素を有する運搬装置は、この指示テーブルからウエハを取り上げ、これらのウエハを研磨用の研磨テーブルへと移動させ、そしてこれらのウエハを、さらに処理するために、この指示テーブルへと戻す。フリッパーは、研磨済みウエハを洗浄ステーションへと移動させる。この洗浄ステーションは、スクラブステーション、リンスステーションおよび回転乾燥機ステーション、および水トラックの接続システムを包含する。このロボットのウェットエンドエフェクターは、リンス済みウエハを、この回転乾燥機ステーションへと運搬する。このロボットのドライエンドエフェクターは、乾燥したウエハを、この回転乾燥機ステーションから、最初のカセットへと戻す。

Description

【発明の詳細な説明】
【0001】 (発明の分野) 本発明は、一般に、半導体ウエハワークピースを研磨、洗浄、リンスおよび回
転乾燥するための内蔵式機械に関し、さらに特定すると、ウエハのカセットを受
容するため;これらのウエハをCMP研磨、洗浄、リンスおよび乾燥するため;
および研磨し洗浄したウエハを、それらを取り出した同じカセットおよび同じス
ロットへと戻すための改良システムに関する。
【0002】 (発明の背景) 電子工業において、ウエハおよびディスクを研磨する機械および洗浄する機械
は、一般に、周知である。例えば、半導体ウエハ、磁気ディスク、および他のワ
ークピースは、しばしば、平らで実質的に平面の円形ディスク形状で提供されて
いる。集積回路の製造では、半導体ウエハディスクは、シリコンインゴットから
スライスされ、さらに処理するために、準備される。このインゴットから各ウエ
ハをスライスした後、それは、充分に研磨し、次いで、洗浄、リンスおよび乾燥
して、このウエハの表面から破片を除かなければならない。その後、このウエハ
には、一連の工程が実行されて、このウエハ表面上にて、集積回路が形成される
が、この工程には、マイクロエレクトロニック構造の層を塗布すること、その後
、誘電体層を塗布することが挙げられる。典型的には、このウエハ表面にて、こ
れらの層を製作した後、これらのウエハは、平面化して、余分な材料および欠陥
を除かなければならない。
【0003】 各処理工程の後、しばしば、これらのウエハを充分に洗浄、リンスおよび乾燥
して、これらのウエハから破片が取り除かれたことを確認するのが望ましい。そ
れゆえ、ウエハを迅速かつ効率的に洗浄、リンスおよび乾燥する方法および装置
が必要とされており、これは、高いウエハ産高を促進しつつ、同時に、ウエハの
破損を最小にして、これらのウエハを充分に洗浄し乾燥する。既存のウエハ洗浄
機の論述については、例えば、Lutzの米国特許第5,442,828号(1
995年8月22日に登録された);Frankらの米国特許第5,213,4
51号(1993年5月25日に登録された);およびOnoderaの米国特
許第5,357,645号(1994年10月25日に登録された)を参照せよ
【0004】 現在、CMP研磨および/または平面化は、1台の機械により実行されており
、また、ウエハの洗浄および乾燥は、他の別個の機械により、実行されている。
これらのウエハの表面上に、処理層(すなわち、酸化物、タングステンなど)を
堆積した後、その乾燥ウエハは、カセットに置かれ、そしてCMP研磨機に手で
運ばれる。このCMP研磨機は、これらのウエハを平面化することにより、余分
な材料を取り除き、次いで、典型的には、これらのウエハをリンスし、そしてこ
れらのウエハを湿潤カセット内に置く。研磨後、残留粒子は、依然として、この
ウエハの表面に滞留している。もし、これらの粒子が、洗浄前に、このウエハ上
で乾燥するなら、このウエハ上のマイクロエレクトロニック構造は、崩壊し得る
。従って、これらのウエハを洗浄し乾燥する前に、これらのウエハを湿潤した状
態で保つことは、非常に重要である。このCMP機から、この湿潤カセットは、
別個のウエハ洗浄および乾燥機(これは、典型的には、このCMP機の近くのど
こかに位置している)へと手で運ばれる。
【0005】 ウエハ研磨およびウエハ洗浄および乾燥用の別個の機械を使用するこの従来の
方法は、重大な欠点がある。第一に、ウエハ製造者は、ウエハを、CMP機から
洗浄および乾燥機へと湿潤環境で運搬するために、手元に、作業員、設備および
施設がなければならない。第二に、ウエハを研磨し洗浄するの別個の機械を備え
付けることは、相当なクリーンルーム空間を費やし、これは、当業者が理解する
ように、非常に高価である。
【0006】 (発明の要旨) 本発明は、この研磨、洗浄および乾燥機能を1台の機械で一体化することによ
り、従来技術の欠点を克服する。
【0007】 従って、本発明の主要な目的は、組み合わせウエハCMP研磨、洗浄および乾
燥機を提供することにあり、ここで、ウエハは、乾燥カセットから取り出され、
研磨され、洗浄され、乾燥され、それらを取り出した同じカセットおよびスロッ
トへと戻される。
【0008】 本発明の他の目的は、この組み合わせ研磨および洗浄機の装填ステーション、
洗浄ステーションおよび乾燥ステーションを、1〜10等級のクリーンルーム環
境で維持することにある。この機械の装填および洗浄ステーションからCMPス
テーションへの正の空気層流(positive laminar air f
low)は、この装填および洗浄ステーション内にて、クリーンな環境を維持す
るのに、使用される。この正の空気流は、これらのウエハから研磨中に遊離され
得るスラリーおよび他の粒子が、このクリーンな環境へと移動したりそれを汚染
しないことを保証する。
【0009】 本発明の他の局面は、ウエハを乾燥カセットから取り出し、そしてこれらのウ
エハを、この機械のCMPステーション内の指示テーブルへと運搬するために、
六軸ロボットを使用することにある。このロボットはまた、湿潤ウエハを、この
機械の洗浄ステーションにあるリンスステーションから、回転乾燥機ステーショ
ン(これもまた、この洗浄ステーションに位置している)へと運搬するように、
配置されている。このロボットはまた、これらの乾燥ウエハをこの回転乾燥機ス
テーションから取り出し、それらを、この機械の装填ステーションに位置してい
るカセットへと戻す。このロボットは、湿潤および乾燥ウエハが輸送中に隔離さ
れていることを保証するために、ウェットエンドエフェクターおよびドライエン
ドエフェクターを有する。このドライエンドエフェクターは、乾燥カセットを取
出および装填するのに使用され、そしてこのウェットエンドエフェクターは、湿
潤ウエハを、このリンスステーションからこの回転乾燥機ステーションへと移動
させるのに、使用される。
【0010】 本発明の他の特徴は、ウエハマッピングシステムであり、これは、ウエハカセ
ット内のどのスロットがウエハで占有されているかを決定する。このマッピング
システムはまた、ウエハがこれらのスロット内に正しく整列されているかどうか
、また、特定のスロット内に1枚より多いウエハがあるかどうかを判定する。こ
のマッピングシステムは、好ましくは、光学走査装置(例えば、ビデオカメラ)
(これは、このロボットの頂部に装着された取付ブラケットに取り付けられてい
る)およびシステムプロセッサ(これは、この走査装置からの信号を解釈し処理
するように、配置されている)を包含する。この研磨および洗浄機にカセットを
配置するとき、このロボットエンドエフェクターは、この取付ブラケットから、
この走査装置(カメラ)を回収し、そしてこのカセットの前で上下に横断して、
この光学走査装置が、このカセットの内容物を見ることができるようにする。さ
らに、このカセットの背後のバックライト源は、この光学走査視覚システムの有
効性を高めるために、使用され得る。
【0011】 このCMPステーションは、好ましくは、一度に5枚のウエハを受容し研磨す
るように、配置されている。これらのウエハを、このロボットによって、指示テ
ーブル上へと装填した後、マルチヘッド運搬装置は、5枚のウエハのキャリヤー
ヘッドを、この指示テーブルの近傍へと低下させ、そしてこれらのウエハを取り
上げる。この運搬装置は、次いで、研磨面の上部に配置されるまで、側方に移動
する。この運搬装置は、次いで、これらのウエハがその研磨面に押し付けられる
ように、下げられる。この研磨工程を向上させるために、好ましくは、研磨スラ
リーが供給され、そして個々のキャリヤーは、この研磨面上で回転され、そこを
わたって放射状に振動される。研磨後、これらのウエハは、この指示テーブル中
の取出カップへと戻される。フリッパー装置は、次いで、このウエハを、この取
出カップから、この機械の洗浄ステーションへと移動させる。
【0012】 この機械の洗浄ステーションは、好ましくは、水トラック、洗浄ステーション
、リンスステーション、回転乾燥機ステーションおよび複数のウエハステージン
グ領域を包含する。さらに具体的には、ウエハを、まず、この機械のCMPステ
ーションから、この洗浄ステーションへと装填したとき、このウエハは、この機
械が、このウエハを解除するために空になっていると判定するまで、第一ステー
ジング領域で保持される。空になると、水ジェットは、このウエハを第一洗浄ス
テーション(これは、このウエハの両面を洗浄し清浄にするように、配置されて
いる)へと推進する。この第一洗浄ステーションから、このウエハは、水トラッ
クを下降して、第二ステージング領域へと運搬される。再度、ウエハが次のステ
ーションに移動してその前の位置で空になったことをこの機械が判定するまで、
このウエハは、この位置で保持される。この第二ステージング領域から、水ジェ
ットは、このウエハの第二洗浄のために、このウエハを、第二洗浄ステーション
へと推進する。このウエハは、次いで、この第二洗浄ステーションから、第三ス
テージング領域へと出ていく。この第三ステージング領域から、このウエハは、
水トラックを下降して、このリンスステーションへと運搬される。リンス後、こ
のロボットは、このウエハを、この回転乾燥機ステーションへと移動させ、次い
で、カセットへと移動させる。
【0013】 このウエハ洗浄ステーションは、好ましくは、複数のローラー対を包含し、こ
れらは、これらのウエハを、この洗浄ステーションを通って引き、また、これら
のウエハの頂部および底部平坦面を洗浄する。そのローラーボックス内の種々の
ローラーは、異なる回転速度で作動し得、そして異なる方向で回転し得る。この
ようにして、あるローラーは、この洗浄ステーションを通ってウエハを移動させ
る駆動ローラーとして機能し得るのに対して、他のローラーは、これらのウエハ
がこの洗浄ステーションを通って駆動されるにつれて、ウエハ表面を洗浄するよ
うに機能し得る。
【0014】 特に好ましい実施態様では、これらのローラーは、閉鎖ボックス内に含まれる
が、これは、そのローラー面が長期使用によって摩耗するにつれて、これらのロ
ーラーの好都合な変更を促進するために、容易に、この機械から取り除かれ得る
。複数の異なる化学物質(例えば、水、洗浄溶液、界面活性剤、摩擦低減剤、お
よび種々の溶液のpHを制御する試薬)をこのローラーボックスの個別領域へと
分配できるように、このローラーボックスの上部内面には、好ましくは、複数の
チャンネルが形成される。このようにして、第一組のローラーを通るウエハは、
第一化学溶液に晒され得、後に、このローラーボックスの後のステージにて、第
二化学溶液に晒され得る。好ましくは、複数のローラーボックスが使用されるの
で、異なる洗浄ステーションでは、異なる化学物質が使用され得る。この第一ロ
ーラーボックスは、例えば、強力な洗浄を促進するために、これらのウエハ上に
、洗浄溶液および脱イオン水の混合物を分配し得るのに対して、この第二ローラ
ーボックスは、リンスを行うために、単に、これらのウエハ上に、脱イオン水を
分配し得る。
【0015】 ウエハは、この第二洗浄ステーションから、水トラックを経て、このリンスス
テーションへと運搬される。この水トラックは、支持ポートにより支持されてお
り、この支持ポートは、トラック整列用の垂直調整システムを包含し得る。ウエ
ハは、このリンスステーション(これは、そのリンス手順中にて、下方に傾くよ
うに配置されている)内で、連続様式でリンスされる。この下方傾斜は、いずれ
かの破片または化学物質の効果的な排出および除去を促進する。多数の水ジェッ
トは、各ウエハを、このリンスステーションへと駆り立て、リンス中にて、この
ウエハの位置を維持し、そしてこのウエハの上面および下面のリンスを実行する
。この水ジェットはまた、このウエハとの機械的な接触が最小になるように、こ
のウエハを、このリンスステーション内で支持する。
【0016】 リンス後、このロボットは、ウェットエンドエフェクターを使用して、このリ
ンスステーションからウエハを持ち上げて、回転乾燥機ステーションへと移動さ
せる。この回転乾燥機ステーションには、モーターが備え付けられており、これ
は、ウエハを保持しているプラットホームを、約4,000 rpmの範囲の速
度で回転し、それにより、このウエハから、残留脱イオン水を取り除く。この回
転乾燥機ステーションは、好ましくは、この機械の他のステーションを水および
破片(これは、この回転乾燥工程中にて、流れ得る)から保護するために、この
回転装置の回りに、シールドを包含する。このシールドは、好ましくは、このロ
ボットがこの回転乾燥機にアクセスできるように、移動可能ドアを包含する。こ
の回転乾燥機は、好ましくは、多数の握りフィンガー(これらは、回転中に、こ
のプラットホーム上でこのウエハを維持するように、配置されている)を使用す
る。
【0017】 このロボットは、ドライエンドエフェクターを使用して、この回転乾燥機ステ
ーションから乾燥ウエハを回収し、そしてこの乾燥ウエハを、これらのウエハを
取り出したカセットへと戻す。各ウエハは、処理後、その最初のカセット内の最
初のスロットへと戻すことができるように、この研磨および洗浄工程にわたって
、追跡されモニターされる。
【0018】 ウエハがこの機械の洗浄ステーションを通るにつれて、それらをモニターする
ために、また、これらのウエハが、この洗浄ステーションの1領域から次の領域
へと正しく移動されているかどうかを判定するために、視覚システムまたは他の
位置感知方法が使用され得る。ウエハが、全て、その正しいステージング領域内
に安全に配置されていると判定されたとき(すなわち、この水トラックまたは種
々の洗浄、リンスおよび乾燥ステーションにて、引っかかった(lodged)
ウエハがないと判定されたとき)、これらのウエハは、これらの種々のステージ
ング領域から解放される。
【0019】 このウエハ指示ステーション、研磨ステーションおよび洗浄ステーションへの
流体流れは、流体流れ調節システムを使用することによって、制御され得るが、
これは、従来技術のシステム(これは、典型的には、流体圧を測定する)とは反
対に、この流体の流れをモニターする。流体流れを直接測定することにより、こ
のシステムは、入口流体圧の変動を受けにくくなる。このシステム内の流体流れ
は、それゆえ、従来技術のシステムで可能な程度よりも、ずっと正確に制御され
得る。
【0020】 本発明はまた、オペレータインターフェース(例えば、フラットパネルタッチ
スクリーン)を包含し得る。このタッチスクリーンは、好ましくは、操作、保守
管理、トラブルシューティングなどを容易にするために、このシステムの視覚的
にいずれの関連局面の三次元図式画像も提示する。
【0021】 (好ましい実施態様の詳細な説明) 本発明は、以下、添付の図面と共に記述するが、ここで、類似の番号は、一般
に、類似の要素を意味する。
【0022】 本発明による一体化ウエハCMP研磨および洗浄機10は、図1で図示されて
いる。機械10は、ウエハ装填/取出ステーション100、ウエハ指示ステーシ
ョン200、ウエハCMPステーション300、およびウエハ洗浄ステーション
400を包含する。上述のステーションの各々は、以下で、さらに詳細に、構造
的および機能的に記述する。
【0023】 図1を引き続いて参照し、また、図2および3を加えて参照すると、ウエハ装
填/取出ステーション100は、機械10の実質的に連続した操作を可能にする
ために、複数のウエハカセットに適合するように配置されている。好ましくは、
装填/取出ステーション100は、3個のウエハカセットプラットホーム102
を包含し、各々は、少なくとも1個のウエハカセット104が、研磨し洗浄すべ
きウエハで満たされた状態で保持するように、配置されている。このことに関し
て、本発明は、代表的なワークピース(例えば、半導体ウエハ)に関連して記述
されているものの、事実上いずれの実質的に平らで実質的に円形のワークピース
も、本発明に関連して、使用され得る。
【0024】 典型的には、3個のウエハカセット104は、各個のカセットプラットホーム
102へと装填される。機械10は、次いで、カセット104の第一のものに存
在している全てのウエハを処理する。全てのウエハが第一カセット104から取
り出された後、機械10は、これらのウエハを、カセット104の次のもので処
理する。これらのウエハが、このCMPおよび洗浄工程を通って前進した後、機
械10は、以下でさらに完全に述べるように、これらのウエハを、それらを取り
出した同じカセット104の同じスロットへと戻す。機械10が、全てのウエハ
をカセット104へと戻した後、そのカセットは、そのカセットプラットホーム
102から取り外され得、新しいカセット104(これは、部分的または完全に
ウエハで満たされている)がその位置に設置される。このようにして、機械10
へのウエハの実質的に連続的で中断しない投入が達成され得、公知のCMP機を
用いて従来達成できたものよりも実質的に高いワークピース処理能力が促進され
る。さらに、機械10は、1つの連続工程で、これらのウエハを研磨し、洗浄し
、そして乾燥するので、別個の洗浄機および余分な処理の必要性は軽減される。
また、機械10は、これらのウエハを、それらを取り出した同じ乾燥カセットの
同じスロットへと戻すので、その操作者および製造設備は、その製作工程を通じ
て、各カセットおよび各ウエハをよりうまく追跡できる。
【0025】 図1〜3を引き続いて参照すると、各カセットプラットホーム102は、各カ
セット104を支持するための傾斜基部106を包含する。基部106(従って
、カセット104)の傾斜により、カセット104内のウエハは、各カセットの
後方へと滑り、これらのウエハが、取出前に、正しく配置されることを保証する
。同様に、機械10が、これらのウエハを、これらのカセットへと戻した後、こ
の傾斜カセットは、これらのウエハが、それらの取出前に、これらのカセット内
で正しく固定されることを保証する。基部106は、好ましくは、5〜18度の
傾斜を有し、最も好ましくは、6度の傾斜を有する。基部106は、手動で、所
望の傾斜度に設定され得るか、あるいは、エレベーターアセンブリ、例えば、サ
ーボアセンブリ、ステッパーモーター、トルクモーターアセンブリなどを使用し
て、基部106の傾斜を調整し得る。
【0026】 各カセットプラットホーム102は、好ましくは、装填/取出ステーション1
00内および洗浄ステーション400内のクリーンルーム環境を維持するための
2個のドアを包含する。内部プラットホームドアまたはバリヤー108は、各プ
ラットホーム102と装填/取出ステーション100との間に位置づけられ、そ
してある時点で、自動的に連動および後退するように、配置されている。同様に
、外部プラットホームドア110は、このプラットホームの外部前面に位置づけ
られ、そしてプラットホーム102を、外部環境から隔離する。安全性の理由の
ために、また、外部環境からの汚染物が、この装填/取出および洗浄ステーショ
ンに入ることを阻止されることを保証するために、内部ドア108は、操作者が
外部ドア110を開け得る前に、閉じるように配置されている。従って、外部ド
ア110は、制御ロッキング機構(例えば、磁気ロックまたは空気圧ロック)を
包含し、これは、安全になるまで、操作者が外部ドア110を開けるのを防止す
る。
【0027】 プラットホーム102は、好ましくは、カセットの位置および他の状態情報を
モニターするための種々のセンサを包含する。特に、プラットホーム102は、
カセットが基部106上で正しく配置されているかどうかをモニターするための
カセット位置センサ、および外部ドア110が開いているかまたは閉じているか
を決定するための外部ドアセンサを包含する。もし、カセット104が基部10
6上で正しく配置されていないなら、このカセット位置センサは、この機械の制
御装置に信号を送り、これは、次に、その操作者に対して、警報を鳴らすかまた
は警報灯を照光する。同様に、もし、外部ドア110が開いているなら、この外
部ドアセンサは、この機械の制御装置に信号を送り、そしてこの制御装置は、内
部ドア108が開かないようにし、それにより、この機械のクリーンルーム部分
が損なわれないことを保証する。
【0028】 プラットホーム102はまた、好ましくは、処理中のカセットの状態をモニタ
ーするためのカセット状態センサ/指示器を包含する。例えば、このカセット状
態指示器は、この機械のプロセッサ/制御装置に信号を伝達し得、カセットが存
在していること、カセットが地図で表わされて運転中であること、またはカセッ
ト処理が完結したことおよびこのカセットが取り外し準備完了していることを指
示する。従って、もし、カセットが運転中であるなら、この処理システムは、外
部ドア110をロックし、そして操作者がそれを取り外さないようにする。同様
に、もし、この状態指示器が、この操作者がこのカセットにアクセスするのが安
全であると指示しているなら、この操作者は、この処理システムに対して、この
カセットを取り外しおよび/または交換できるように、外部ドア110のロック
解除を要求できる。
【0029】 カセットプラットホーム102は、好ましくは、多くの異なるカセットのデザ
インおよび形状に適合するように、配置される。最も好ましくは、プラットホー
ム102は、6インチ、8インチおよび300ミリメートルのウエハカセットを
受容するように、配置されている。あるいは、装填/取出ステーション100は
、伝統的なオープンエアウエハカセットおよびカセットプラットホームの代わり
に、Standard Machine Interface(SMIF)ウエ
ハポッドを受容するように、配置され得る。このような形状では、装填/取出ス
テーション100は、カセットプラットホーム102に代えて、SMIFポッド
アダプタを包含する。
【0030】 プラットホーム領域102は、静電気の蓄積を最小にするために、イオン化剤
を包含し得る。また、当業者が理解するように、外部ドア110を開けたとき、
外部環境からの粒子は、プラットホーム102へと入り得る。空気中浮遊粒子を
プラットホーム102から締め出すために、機械10の上部に取り付けたHEP
Aフィルターにより、正の空気層流が供給され得る。適当なフィルターは、As
yst Technologies, Inc.(Fremont, Cali
fornia)により、製造されている。さらに、各プラットホームは、後方点
灯システムを包含し得、これは、このウエハマッピングシステム(これは、以下
でさらに詳細に記述する)の有効性を改良する。
【0031】 カセット104をプラットホーム102に置き、そして機械10を処理のため
に設定した後、ロボット112は、カセット104からウエハを個々に取り出し
、それらを、エアナイフ114を通って、指示ステーション200へと移動する
。今ここで、図4〜6を参照すると、ロボット112は、好ましくは、六軸ロボ
ット(例えば、Motoman, Inc.(West Carrollton
, Ohio)により製造されたSV3六軸ロボット)を包含する。ロボット1
12は、好ましくは、2個のウエハ制御エンドエフェクター116および118
を包含し、これらは、ロボット112のリスト軸120に接続されている。エン
ドエフェクター116は、乾燥ウエハ(例えば、カセットからこの指示ステーシ
ョンへの移行状態のウエハおよびこの回転乾燥機ステーションからカセットへの
移行状態のウエハ)を取り扱うように、配置されており、そしてエンドエフェク
ター118は、湿潤ウエハ(例えば、このリンスステーションからこの回転乾燥
機ステーションへの移行状態のウエハ)を取り扱うように、配置されている。も
ちろん、エンドエフェクター116は、湿潤ウエハを取り扱うように配置でき、
また、エンドエフェクター118は、乾燥ウエハを取り扱うように、配置され得
、または両方のエンドエフェクターは、全ての湿潤ウエハまたは全ての乾燥ウエ
ハを取り扱うように、配置され得る。
【0032】 エンドエフェクター116および118は、その上に保持したウエハに真空圧
を加えるための真空穴122を包含する。真空源は、必要な真空圧を供給するよ
うに、配置されている。このエンドエフェクターに加えられる真空圧は、ロボッ
ト112が、その種々の軸の回りに、これらのウエハを移動させる間にて、これ
らのウエハを、このエンドエフェクター上に確実に保持する。例えば、ロボット
112は、「装置側を上にして(device side up)」、カセット
104からウエハを取り出す。これらのウエハは、次いで、エアナイフ114を
通って、指示ステーション200へと移動され、この場所で、それらは、「装置
側を下にした」状態へとひっくり返され、そして指示装填カップ204(図1を
参照)上に配置される。この真空圧は、ロボット112がこのウエハをひっくり
返すときに、ウエハを、このエンドエフェクター上に保持する。
【0033】 エンドエフェクター116および118は、好ましくは、このエンドエフェク
ター上のウエハの存在または不在を検出するためのセンサ(典型的には、真空型
)を包含する。もし、ウエハが、このウエハの運搬中にて、エンドエフェクター
から失われたなら、このセンサは、即座に、このエラーを検出し、そしてこのロ
ボット制御装置および/または機械制御装置に対して、システム処理を停止する
ように信号を送り、そして警報を鳴らす。この機械制御装置および付随の警報シ
ステムは、他の高価なウエハが失われないように、機械10を停止する。さらに
、エンドエフェクター116および118は、それらを静電放電に耐えるように
するために、静電消散材料(static dissipative mate
rial)(例えば、ESD410)から製造されるか、またはそれで被覆され
得る。あるいは、装填/取出ステーション100および/またはロボット112
は、帯電の蓄積を少なくするのを助けるために、イオン化システムを包含し得る
【0034】 ここで図4を参照すると、ロボット112は、マッピングシステムを包含し得
、これは、ウエハカセット内のどの位置がウエハを含んでいるかを決定するため
、およびこれらのウエハのいずれかがカセット内に不適当に配置されているかど
うかを決定するためにある。不適当に配置されているウエハの例には、単一スロ
ット内に配置された複数ウエハ、および2個のスロット間でクロススロットされ
たウエハが挙げられる。このマッピングシステムは、好ましくは、ロボット11
2がウエハカセット104を走査できるように、光学走査装置128(例えば、
ビデオカメラ)(これは、ロボット112の頂部に装着された取付金具129に
取り付けられている)を包含する。しかしながら、当業者が理解するように、こ
の走査装置は、ロボット112の任意の適当な部分に装着され得る。あるいは、
このマッピングシステムおよび走査装置は、ロボット112から完全に独立した
機械10上に設置され得る。
【0035】 マッピングシステム128は、好ましくは、光学視覚マッピングシステム(例
えば、Acuity Imaging, Inc.(Cincinnati,
Ohio)から製造された「IVS Express」マッピングシステム)を
包含し、これは、カセット内でのウエハの位置を決定し、そしてこの情報を、シ
ステムプロセッサ(これは、この走査装置から受信した信号を解釈し処理するよ
うに、配置されている)に伝達する。このプロセッサは、このロボット制御装置
、この機械制御装置、独立したマッピングプロセッサ、またはこれらの装置の組
み合わせに組み込まれる。このプロセッサは、視覚データを受信し、それをウエ
ハ位置データへと変換する。この位置データは、好ましくは、32ビットのデー
タを包含する;30ビットは、各ウエハのカセットおよびスロット情報を規定す
るために使用される;1ビットは、ウエハが2個のスロット間でクロススロット
していることを示すための1エラービットとして使用される;そして1ビットは
、1個のカセットスロットが複数のウエハを含んでいることを示すための1エラ
ービットとして使用される。もし、これらの2つのエラーの1つが起こるなら、
このプロセッサは、この機械制御装置に信号を送り、これは、この機械の処理を
停止し、そして操作者に対して、問題があることを通知する。もし、エラーが起
こらないなら、この制御装置は、各ウエハの位置情報を保存し、そして以下でさ
らに詳細に記述するように、保存した情報を使用して、各ウエハが、それを取り
出した同じカセットの同じスロットへと戻されて配置されることを保証する。
【0036】 本明細書中で開示した本発明の実施態様は、「プロセッサ」を、その保存およ
び機械およびマッピング制御機能を実行するものとして意味しているものの、当
業者は、このプロセッサが、単一プロセッサ、あるいは、複数の関連したプロセ
ッサを包含し得ることを理解する。この制御および保存機能の一部は、このマッ
ピングまたはロボット制御装置により、実行され得るのに対して、例えば、他の
ものは、この機械の制御装置により、実行される。複数のプロセッサ間の制御機
能の分布は、当該技術分野で周知である。さらに、ウエハ位置および/またはウ
エハ場所エラーを規定するには、32ビットのデータが好ましいものの、ウエハ
位置情報を指定するには、任意の適当なビット数が使用され得る。さらに、この
ウエハマッピングシステムは、ウエハコード読み出し(バーコードまたはOCR
)を直接実行するように、配置され得る。
【0037】 この視覚マッピングシステムが各カセット104をマッピングした後、ウエハ
処理が開始する。図1を再び参照して、今ここで、ウエハのCMP処理を述べる
。上述のように、ロボット112は、カセット104からウエハを個々に取り出
し、それらを、エアナイフ114を通って、指示ステーション200へと運搬す
る。エアナイフ114は、好ましくは、装填/取出ステーション100と指示ス
テーション200との間に開口部を包含し、また、反発粒子(renegade
particles)がこのきれいな装填/取出ステーションに入らないよう
に、装填/取出ステーション100から指示ステーション200への正の空気流
を含有する。当業者が理解するように、本発明は、特定のエアナイフ配置を参照
して記述されているものの、ウエハを装填/取出ステーション100から指示ス
テーション200へと運搬させつつ、粒子が装填/取出ステーション100に入
らないようにするために、任意の粒子制御手段が使用され得る。
【0038】 指示ステーション200に入った後、ロボット112は、各ウエハを、装置側
を下にして、指示テーブル202上にある複数の装填カップ204の1個上へと
配置する。指示テーブル202はまた、複数のウエハ取出カップ206を包含し
、これらは、装填カップ204と交互になっている。ウエハを複数の装填カップ
204の1個に配置した後、テーブル202は、新たな装填カップ204がエア
ナイフ114と整列するように、回転する。ロボット112は、次いで、次のウ
エハを、新たな空の装填カップ204に配置する。この工程は、全ての装填カッ
プ204がウエハで満たされるまで、継続される。指示テーブル202は、好ま
しくは、5個の装填カップ204および5個の取出カップ206を包含する。
【0039】 次に、個々のウエハキャリヤー要素210を有するウエハキャリヤー運搬アセ
ンブリ208(図3を参照)は、それ自体、指示テーブル202上で整列し、各
個のキャリヤー要素210は、各個の装填カップ204にあるウエハの真上に位
置づけられる。運搬アセンブリ208は、次いで、キャリヤー210がこの装填
カップからこれらのウエハを回収し得るように、キャリヤー要素210を、これ
らのウエハの近傍へと低下させる。各キャリヤーがウエハを得た後、運搬アセン
ブリ208は、これらのキャリヤー要素を、それらの各個のウエハと共に、CM
Pステーション300へと側方に移動させ、これらのウエハを、研磨テーブル3
02の上部に配置する。一旦、研磨テーブル302の上部にくると、運搬アセン
ブリ208は、これらのウエハが、研磨テーブル302の上に配置された研磨パ
ッドと操作的に噛み合って押し付けられるように、キャリヤー要素210を下げ
る。研磨中、研磨テーブル302、およびその上に配置された研磨パッドは、そ
れらの垂直軸の周りを回転する。同時に、個々のキャリヤー要素210は、これ
らのウエハを、それらの各個の垂直軸の周りに回転させ、そしてこれらのウエハ
をこの研磨パッドに押し付けつつ、研磨テーブル302をわたってこれらのウエ
ハを前後に振動させる。このようにして、これらのウエハの表面は、研磨および
/または平面化される。
【0040】 適当な処理期間の後、これらのウエハは、この研磨パッドから持ち上げられ、
そして指示ステーション200へと戻される。この時点で、これらのウエハは、
望ましいなら、第二研磨テーブル213で研磨され得る。次に、運搬アセンブリ
208は、個々のキャリヤー要素210(これは、これらのウエハを、取出カッ
プ206に入れる)を低下させる。1バッチの研磨済みウエハを取出カップ20
6に入れた後、指示テーブル202が回転し、そしてキャリヤー要素210は、
再度、下げられて、研磨用に、装填カップ204から新セットのウエハを受容す
る。これらのウエハは、CMPステーション300に運搬されて、この工程が繰
り返される。ウエハがCMPステーション300で研磨されている間、ロボット
112は、装填カップ204にウエハを装填し、そしてフリッパーアーム212
は、取出カップ206からウエハを取り出し、そしてそれらを洗浄ステーション
400へと運搬する。以下でより詳細に記述するように、フリッパーアーム21
2は、指示ステーション200からこれらのウエハを持ち上げ、そしてそれらを
洗浄ステーション400上の水トラックへと運搬する。
【0041】 運搬アセンブリ208は、5個の研磨ステーションまたはウエハキャリヤー要
素210を有するとして記述されているものの、任意の適当な数のキャリヤー要
素の使用が想定される。さらに、本発明は、全てのキャリヤー要素が同時に機能
する訳ではない状況で、使用され得る。多くの標準的なウエハカセットは、例え
ば、24個の個々のワークピースまたはウエハを収容するスロットを有する。結
果的に、5個のキャリヤー要素運搬装置を用い、カセット内の最後の4個のディ
スクを研磨用に回収すると、5番目のキャリヤー要素は、空になる。
【0042】 CMP法(特に、CMPステーション300と類似したCMP機の詳細な作業
)のさらに詳細な論述については、Karlsrudら、米国特許第5,329
,732号(1994年7月に登録された);Karlsrudら、米国特許第
5,498,196号(1996年3月に登録された);およびKarlsru
dら、米国特許第5,498,199号(1996年3月に登録された)を参照
せよ(これらの全ての内容は、本明細書中で参考として援用されている)。
【0043】 洗浄ステーション400は、図7で詳細に図示している。洗浄ステーション4
00は、好ましくは、第一、第二および第三水トラック402、404および4
06、第一および第二スクラブステーション408および410、リンスステー
ション412、および回転乾燥ステーション414を包含する。
【0044】 洗浄ステーション400の種々のサブステーションを詳細に記述する前に、指
示ステーション200、洗浄ステーション400および装填/取出ステーション
の間のウエハ流れは、非常に一般的な条件で記述される。図24は、これらのス
テーション間のウエハ流れの概要を提供する。本質的に、ウエハが1ステーショ
ンから次のステーションへと移動できる前に、そのステーションでの処理が完了
していなければならないだけでなく、次の処理ステーションにおいて、ウエハが
存在していないことを確認しなければならない。それゆえ、もし、この機械のス
テーションのいずれか1個が、故障または失速するか、あるいはウエハがひっか
かったり破損するなら、センサは、次のステーションにウエハが存在しているこ
とを表示するので、この問題が矯正されるまで、通り過ぎるウエハはない。この
重要な検査システムを説明するために、以下の2個の仮想ウエハの前進を追跡す
る:指示テーブル202の取り出しカップ206から行程を開始するウエハ「A
」およびすぐ次のステーションである第一水トラック402に存在するウエハ「
B」。
【0045】 ウエハ「A」が、フリッパー212によって、第一水トラック402に移動で
きる前に、この機械制御装置(これは、以下で記述するように洗浄ステーション
400に適切に位置づけられたセンサを使用する)は、まず、ウエハ「B」がト
ラック402から第一スクラブステーション408へと通過したことを確認しな
ければならない。一旦、このことが確認されると、フリッパー112は、ウエハ
「A」を、取り出しカップ206から、第一水トラック402上へと移動する。
ウエハ「A」は、ウエハ「B」が第二水トラック404上へと移動されたことが
確認されたときにのみ、水トラック402から、スクラブステーション408へ
と移動される。ウエハ「A」は、洗い落としが完了するまで、また、ウエハ「B
」が第二スクラブステーション410へと移動されたことが確認されるまで、ス
クラブステーション408内に保持され、後者の時点で、「A」は、第二水トラ
ック404上へと移動される。ウエハ「B」が、第二スクラブステーション41
0から、第三水トラック406の第一部分へと移動されると、ウエハ「A」は、
第二スクラブステーション410に通過される。ウエハ「B」が水トラック40
6の第一部分から第二部分へと通過され、そしてステーション410でのウエハ
「A」の洗い落としが完了した後、ウエハ「A」は、トラック406の第一部分
へと通過される。ウエハ「B」が、トラック406の第二部分から、リンスステ
ーション412へと通過した後、ウエハ「A」は、水トラック406の第二部分
へと移動される。
【0046】 一旦、ウエハ「B」のリンスが完了すると、ロボット112のウェットエンド
エフェクター116は、リンスステーション412から、ウエハ「B」を回収す
る。この工程が確認されると、ウエハ「A」は、リンスのために、水トラック4
06の第二部分から、ステーション412へと通過される。ウエハ「A」は、リ
ンスが完了するまで、また、ウエハ「B」がウェットエンドエフェクター116
から回転乾燥機ステーション414へと運搬されたことが確認されるまで、ステ
ーション412に残留する。この時点で、ウエハ「A」は、ロボット112のウ
ェットエンドエフェクター116により、リンスステーション412から回収さ
れる。ウエハ「A」は、次いで、ウエハ「B」がロボット112のドライエンド
エフェクター118上に存在することが確認されると、回転乾燥機ステーション
414に移動される。ウエハ「A」の回転乾燥が完了して、ウエハ「B」が、ド
ライエンドエフェクター118により、取出カセット104の正しいスロットに
配置されたことが確認されると、ウエハ「A」は、ドライエンドエフェクター1
18により回収され、そして取出カセット104のその正しいスロットに配置さ
れる。
【0047】 このウエハ流れの一般的な枠組みを確立したので、種々のサブステーションの
各々の詳細な操作を、今ここで、記述する。図8および9を参照すると、第一水
トラック402は、ウエハを受け取り、そして洗浄ステーションがそれを解除す
る準備ができるまで、それを、ステージング位置で保持するように、配置されて
いる。準備ができると、第一水トラック402は、これらのウエハを、接触しな
い様式で、第一スクラブステーション408へと案内する。
【0048】 これらのウエハは、洗浄ステーション400により受容されるとすぐに、流体
(これは、以下でさらに詳細に記述するように、複数の流体ジェットを通って、
このトラックの平面から上方に発射される)により支持され、その結果、これら
のウエハとこれらの水トラックとの間の機械的な接触は、実質的になくされる。
【0049】 第一水トラック402は、好ましくは、複数の流体ジェット416を包含し、
これらは、ウエハを、この水トラックから、矢印418の方向(図8)に沿って
、第一スクラブステーション408へと推進するように、配置されている。さら
に具体的には、流体ジェット416は、その水平面に対する角度で、約20°〜
70°のオーダーで、最も好ましくは、約45°で、流体を上方に排出するよう
に、配向されており、この水平流れベクトルは、矢印418の方向に沿って、配
向されている。このようにして、指示ステーション200から回収されたウエハ
は、機械的な接触なしに、水トラック402に沿って、スクラブステーション4
08へと駆り立てられる。流体は、好ましくは、流体マニホルド428を通って
、個々の流体ジェット416へと供給される。マニホルド428は、この水トラ
ックの頂面の下に位置しており、そしてジェット416と流体連絡している。流
体は、流体源430により、マニホルド428に供給される。逆進流体ジェット
424および流体ジェット426は、好ましくは、独立した流体源を有する。
【0050】 図8および9を引き続いて参照すると、第一水トラック402は、さらに、第
一水トラック402の縁部に近接して配置された検出センサ420を包含する。
センサ420は、機械10(特に、洗浄ステーション400)の定常状態動作を
モニターし、そしてウエハが、このセンサの付近で、「ぶら下がっている」(h
ung up)かそうでなければひっかかっていると検出された場合には、警報
を発するかまたは機械操作を停止するように、配置され得る。センサ420はま
た、通過するウエハを数える(または、ウエハが存在しないことを確認する)の
に使用され得、それにより、機械10が正しく作動していることを確認する。最
後に、センサ420は、好ましくは、破損したウエハ部分がないことを検出する
ように、トラック402の縁部近くに配置されている。もし、センサ420が、
トラック420の中心に配置されていると、それは、破損したウエハを検出しな
いかもしれない。ウエハトラック402は、ウエハの処理量を測定するために、
または診断目的のために、任意の適当な数のセンサを使用できることが分かるは
ずである。加えて、以下でさらに詳細に記述するように、類似の検出センサは、
第二および第三水トラック406および408に配置され得る。検出センサ42
0は、ワークピースの存在および/または不在を検出するための任意の適当な機
構(例えば、光学センサなど)を包含し得る。
【0051】 操作中、このシステムが、引き続くステージが次のウエハを受容するように空
になったと判定するまで、ウエハは、典型的には、第一水トラック402で保持
される。トラック402は、このウエハをこのトラック内に維持するのを助ける
ために、ステージングピン422および逆進流体ノズル424を包含する。ステ
ージングピン422は、機械的または空気式で操作されるピン装置を包含し、こ
れは、噛み合わせたとき、水トラック402の頂面の上部に伸長しており、そし
てこのウエハがこの水トラックを引き続いて下がるのを防止する。さらに、この
ウエハに流体圧を加えるために、逆進流体ノズル424が使用され得、これもま
た、それが、このトラックを引き続いて下がるのを防止する。逆進流体ノズル4
24は、このウエハを、矢印418とは反対の方向に押し戻し、それにより、こ
のウエハとピン422との間の接触を最小にし、このウエハに対する損傷の危険
を少なくする。このシステムが、このウエハを次の処理ステーションへと通過さ
せるのが安全であると判定すると、ステージングピン422は下がり、そして流
体ノズル424は、止まる。さらに、前方流体ノズル426は、流体の突発流れ
または定常流れを提供して、このウエハが、矢印418により示された方向で、
このトラックを下がるのを促進する。角度を付けた流体ジェット416もまた、
このウエハがこのトラックを下がるのを助ける。
【0052】 図10〜14は、第二および第三水トラック404および406の形状および
操作を図示している。ウエハが第一スクラブステーション408を出ていくと、
それらは、第二水トラック404の第一部分432に入る。ウエハが第一部分4
32に入るにつれて、流体ジェット416からの流体は、このウエハを束縛し、
そして矢印434により示された方向で、このトラックから下げて、第二水トラ
ック404のステージング領域436へと推進する。図11で図示しているよう
に、ステージング領域436は、このウエハが第一部分432へと戻って浮遊す
ることを防止するために、第一部分よりも僅かに低い水平面にある。第一水トラ
ック402と同様に、第二水トラック404のステージング領域436は、次の
処理ステーションがこのウエハを受容する準備ができるまで、このウエハをこの
ステージング領域で維持するために、ステージングピン422および逆進流体ノ
ズル424を包含する。ステージング領域436はまた、このステージング領域
でのウエハの存在を検出するための検出センサ420を包含する。
【0053】 このシステムが、次の処理ステーション(すなわち、第二スクラブステーショ
ン410)がこのウエハを受容するように空になったと判定した後、ステージン
グピン422は下げられ、逆進ノズル424は止められ、そして流体ノズル42
6は、流体を排出して、このウエハを、矢印438により示された方向で、この
水トラックから下げて、第二スクラブステーション410へと推進する。第二水
トラック404にある流体ジェット416もまた、このウエハを、矢印438の
方向で推進するのを助ける。第二水トラック404はまた、少なくとも1個の流
体マニホルド428および流体源430を包含する。好ましくは、第二水トラッ
ク404は、2個のマニホルドおよび2個の水源を包含する。第二スクラブステ
ーション410から、このウエハは、矢印438により示された方向から、第三
水トラック406に入る(図13)。
【0054】 今ここで、図13〜14を参照すると、第三水トラック406は、第一ステー
ジング領域440、移行領域442および第二ステージング領域444を包含す
る。水トラック402および404のステージング領域と同様に、ステージング
領域440および444は、流体ジェット416、検出センサ420、ステージ
ングピン422、逆進ノズル424および流体ノズル426を包含する。ステー
ジング領域440は、2個のステージングピン422を包含する:1個のピンは
、ウエハが、矢印446により示された方向で、この水トラックから下に移動す
るのを防止し、また、他方のピンは、ウエハが、第二スクラブステーション41
0へと戻って浮遊するのを防止する(矢印438を参照)。ステージング領域4
44は、2組の逆進流体ノズル424を包含する:1組は、ウエハが、次の処理
ステーション(すなわち、リンスステーション412)へと通過するのを防止し
、また、他の組は、ウエハが、矢印446と反対の方向で、水トラック406を
逆流して浮遊するのを防止する。移行部442は、このウエハを、第一ステージ
ング領域440から、第二ステージング領域444へと推進するために、複数の
流体ジェット416を包含する。第一および第二水トラック402および404
と同様に、第三水トラック406は、流体をマニホルド428に供給するための
少なくとも1個の流体源430、および順に、流体ジェット416を包含する。
ステージング領域440および444、ならびに移行流域442は、好ましくは
、それら独自のマニホルド428および流体源430を有する。水トラック40
2および404と同様に、逆進流体ノズル424および流体ノズル426は、好
ましくは、独立した流体源を有する。
【0055】 水トラック402、404および406は、さらに、ウエハを水トラックに展
開させおよび/または通過させるとき、これらのウエハを湿潤状態で保つための
手段(例えば、湿潤ノズル)を包含する。あるいは、流体ジェット416、逆進
流体ノズル424および流体ノズル426は、この目的のために使用され得る。
【0056】 今ここで、図15〜17を参照すると、スクラブステーション408および4
10は、好ましくは、複数のローラー対を囲む囲壁(例えば、スクラバーボック
ス)を包含する。簡潔にするために、本明細書では、1個の例示的なスクラバー
ボックス450だけを記述する。スクラバーボックス450は、底部パネル45
2、頂部パネル454、後部パネル456および前部パネル458を包含する。
それゆえ、これらパネルは、内蔵式ボックスを構成し、これは、ハンドル459
を用いて、迅速かつ容易に取り出され得、そしてこれらのローラーの1個または
それ以上を交換するのが望ましいとき、交換される。本発明に関連して、ローラ
ーおよび/またはスクラバーボックスを迅速かつ好都合に取り出して交換する性
能は、さらに、機械10の実質的な連続操作を促進する。市販のスクラバーボッ
クス(例えば、ドイツのWacker Chemtronic社により製造され
たスクラバーボックス)が使用され得る。
【0057】 各スクラバーボックス450は、複数のローラー対を包含し、これらは、ウエ
ハをこのスクラバーボックスを通って前進させ、同時に、そこを通るウエハの頂
部および底部平坦面を洗浄するように、配置されている。図15で示されている
ように、スクラバーボックス450は、好ましくは、この囲壁の内にウエハを受
容するように配置されたウエハ入力側460を包含する。ウエハがこの囲壁に入
ると、第一対の駆動ローラー(下記)がこのウエハを「掴み」、そしてそれを次
の対のローラーに給送する。
【0058】 スクラバーボックス450は、3対〜12対のローラー、好ましくは、5対の
ローラーを有する。例示した実施態様では、このスクラバーボックスは、第一ロ
ーラー対(これは、各個のローラー462および464を包含する);第二ロー
ラー対(これは、上部ローラー466および下部ローラー468を包含する);
第三ローラー対(これは、上部ローラー470および下部ローラー472を包含
する);第四対(これは、上部ローラー474および下部ローラー476を包含
する);および第五終端ローラー対(これは、上部ローラー478および下部ロ
ーラー480を包含する)を包含する。図15で最もよく見えるように、洗浄ス
テーション400は、ウエハが、その最左側からスクラバーボックス450に入
り、このボックスを通って順次駆り立てられ、そして最右位置(ローラー478
および480に近接して)で、このスクラバーボックスから排出されるように、
配置されている。
【0059】 奇数対のローラー(例えば、第一、第三、第五ローラー対)の各々は、好まし
くは、駆動ローラーとして機能し、各駆動ローラー対は、駆動速度S1で作動す
る。そういうものとして、ローラー462、464、470、472、478お
よび480は、駆動速度S1で作動する。底部ローラー(すなわち、ローラー4
64、468、472、476および480)は、図15で示すように、時計方
向に回転する。さらに、各偶数ローラー対の頂部ローラー(すなわち、ローラー
466および474)もまた、図15で示した透視図から、時計方向に回転する
。最後に、各奇数ローラー対の頂部ローラー(すなわち、ローラー462、47
0および478)は、好ましくは、反時計方向に回転する。
【0060】 偶数底部ローラー(すなわち、ローラー468および476)は、好ましくは
、第二駆動速度S2で作動するように、配置されており、また、偶数頂部ローラ
ー(すなわち、ローラー466および474)は、処理速度S3で作動するよう
に、配置されている。各ローラー対のローラー間の張力は、このローラーボック
ス全体にわたって、ほぼ均一である。
【0061】 各奇数ローラー対は、好ましくは、これらのウエハが、本質的に均一な速度で
、この洗浄ステーションを通って駆動されるように、第一駆動モーターにより、
駆動される。各偶数頂部ローラーは、好ましくは、処理速度S3で、第二モータ
ーにより駆動される;そして各偶数底部ローラーは、好ましくは、処理速度S3
より低い所定のギア比にて、第二駆動速度S2で、第二モーターにより駆動され
る。このようにして、操作者は、第一モーターに付随した第一制御を設定するこ
とにより、駆動速度S1を制御し得、また、第二モーターに付随した第二制御を
操作することにより、駆動速度S3を独立して制御し得る。そうすることにより
、操作者はまた、駆動速度S2が、上述の所定のギア比によって、駆動速度S3
に従うので、駆動速度S2を間接的に制御する。操作者が各個の駆動速度S1、
S2およびS3を動力学的に配置可能にすることにより、スクラブステーション
408、410にて、相当な処理融通性が達成される。さらに、S1より高いS
3を設定することにより、これらのウエハが、これらの駆動ローラー(例えば、
奇数ローラー対)により、駆動速度S1で、このスクラバーボックスを通って同
時に移動されるにつれて、これらの偶数ローラー対は、これらのウエハの頂面お
よび底面を効果的に洗浄する。
【0062】 前記ローラー速度は、本願の出願時に本発明者が知っていた本発明の実施のベ
ストモードを反映しているものの、事実上任意数のローラー、およびローラー速
度とローラー方向との任意の組み合わせが、本発明に関連して使用され得ること
が理解されるべきである。例えば、2つ、3つまたはそれ以上のローラー速度が
使用され得、所望用途に対する最適な洗浄性能を達成するために、速度および方
向の種々の順列および組み合わせが選択される。さらに、それらのブラシの回転
は、ウエハのステージングを補助するように、可逆的であり得、すなわち、これ
らのブラシの逆回転は、次のステーション(すなわち、ウエハトラック)がこの
ウエハを受容するのに利用できるまで、このウエハを、このスクラバーボックス
中で維持するのに使用できる。
【0063】 図15〜17を引き続いて参照すると、スクラバーボックス450は、スクラ
ブステーション408および410に容易に設置できかつそこから取り出しでき
るように、配置されている。底部パネル452は、スクラバーボックス450の
機械10(特に、スクラブステーション408および410)とのロッキング噛
み合いを可能にするために、1個またはそれ以上のファスナーアセンブリ482
を包含する。機械10は、例えば、フレーム部分を包含し得、これは、スクラバ
ーボックス450を機械10に対してうまくかみ合わせ整列するために、ファス
ナーアセンブリ482を受容するように配置された対応ロッキング穴(図示せず
)を有する。ファスナーアセンブリ482は、スクラバーボックス450を機械
10のフレームに固定可能であるが解除可能に連結するために、ネジ、ボルト、
急速解除装置(quick release)、または任意の他の適当な締結機
構を包含し得る。好ましくは、ファスナーアセンブリ482は、急速解除ピンで
あり、そして適当には、このピンをこのフレームと容易に連結および/または解
除するために、ピン解除ハンドル482と接続されている。底部パネル452は
、以下でさらに詳細に記述するように、さらに、流体出口(図示せず)を包含し
得、そこを通って、洗浄流体は、スクラバーボックス450から流出し得る。望
ましいなら、この流体出口から回収された流体は、再利用され得る。
【0064】 今ここで、図17を参照すると、各個の駆動ローラー462〜480の各々は
、ギア末端486および従車末端488を包含する。各個のギア末端486の各
々は、前部パネル458を通って伸長するように、配置されている。各個の従車
末端488の各々は、後部パネル456に設定された各個の従車接合部内で受領
部(receipt)を回転させるように、配置されている。
【0065】 スクラバーボックス450は、好都合には、以下のようにして、取り外され交
換され得る。機械10は、スクラバーボックス450の1個またはそれ以上を取
り外しおよび交換できるように、操作のオフモードまたは保留モードで、配置さ
れる。この状態にて、ファスナーアセンブリ482が解放され、そしてスクラバ
ーボックス450は、ハンドル459を用いて、スクラブステーション408ま
たは410から引き出すことにより、手動で取り外される。これらのローラーの
ギア末端486は、スクラバーボックス450が取り外されるにつれて、機械1
0に付随した駆動機構(図示せず)から受動的に解放される。一旦、スクラバー
ボックス450が取り外されると、取り外したボックスに代えて、操作者が予め
準備した交換ボックスが挿入され得る。あるいは、スクラバーボックス450が
開かれ、これらのローラーは、改装洗浄ステーションが機械10に戻されるよう
に、迅速に交換され得る。いずれの場合でも、スクラバーボックス450は、フ
ァスナーアセンブリ482を、この機械に付随した対応する穴または溝と整列さ
せること、およびボックス450をその最初の操作位置へと戻して滑らせること
により、機械10へと再組み立てされる。ファスナーアセンブリ482は、機械
10に付随した接合駆動機構(分かり易くするために、図示せず)とのギア末端
486の整列を促進する。スクラバーボックス450を、その操作位置に再組み
立てしたとき、ファスナーアセンブリ482は、スクラバーボックス450を適
当な位置で固定するために、操作者により再び連結され得る。もちろん、スクラ
バーボックス450に付随した任意の流体入口、流体排出口またはウエハ感知ハ
ードウエアもまた、取り外しおよび再設置中にて、付随させる必要があり得る。
【0066】 今ここで、図15および16を参照すると、頂部パネル454は、さらに、1
個またはそれ以上の流体入口ポートを包含し、これは、流体を、スクラバーボッ
クス450の内部の個別部分または全体へと分配するように、配置されている。
頂部パネル454は、好ましくは、多数のマニホルドを包含し、これらは、流体
を、このスクラバーボックスの特定の位置に送達するように、配置されている。
特に、パネル454は、第一流体入口ポート490を包含し、これは、第一マニ
ホルド492(これは、第一流体を、このローラーボックス内の多数のローラー
に近接して分配するように、配置されている)と連絡している。第一マニホルド
492は、好ましくは、流体を、これらの頂部ローラーの1個またはそれ以上の
長さに沿って、実質的に一様に放出するように、配置されている。頂部パネル4
54は、さらに、第二流体入口ポート494を包含し、これは、同様に、例えば
、最初の数個のローラー対が占める領域にて、このローラーボックスの異なる部
分全体にわたって第二流体を分配するために、第二マニホルド496と連絡して
、配置されている。頂部パネル454は、さらに、第三マニホルド500(これ
は、第三流体を、例えば、最後の数個のローラー対と近接した領域にて、このロ
ーラーボックスの第三領域全体にわたって分配するように、配置されている)と
連絡して、第三流体入口ポート498を包含する。頂部パネル454はまた、第
四流体入口ポート502を包含し得、これは、第四マニホルド504と連絡して
いる。
【0067】 各個々のマニホルドは、残っているマニホルドの各々から流動的に区別される
ように、配置されている。しかしながら、これらの流体入口ポートの1個または
それ以上は、単一の流体が1個より多いマニホルドに適用し得るように、共に連
結され得る。図16で示されている代表的な実施態様では、これらのマニホルド
は、洗浄流体を、隣接ローラー間および/またはその上部の位置に分配するよう
に、配置されている(これらのローラーは、図16では、想像線で描写されてい
る)。この配置により、この洗浄流体は、このスクラバーボックスを通過すると
き、ウエハに到達できるようになる。
【0068】 各個々のマニホルドは、好ましくは、複数の伸長チャンネル506を包含する
。チャンネル506は、対向マニホルド(例えば、第一マニホルド492および
第三マニホルド500)と付随しているが、交互の形態で配置され得る。もちろ
ん、スクラバーボックス450と共に、任意数の流体入口ポートおよび/または
流体マニホルドを使用し得ること、およびこれらのマニホルドは、特定の処理用
途を最適化するために、重なり部分を伴ってまたはそれなしで、スクラバーボッ
クス450の任意の所望部分と連絡し得ることが分かる。
【0069】 頂部パネル454は、好ましくは、一体型で実質的に継ぎ目のないアセンブリ
として、製造される。この継ぎ目のない構造により、マニホルド492、496
、500および504は、洗浄流体、水または化学物質がスクラバーボックス4
50の外部に漏れることなく、加圧できるようになる。1つの代表的な構成では
、マニホルド492、496、500および504は、プレキシガラスまたは他
の剛性材料の中実片を穿孔することにより、形成される。チャンネル506(こ
れは、図16では、水平に配向されている)は、隣接チャンネルが頂部パネル4
54の対向側で始まるように、形成される。その後、共通の側から始まる数個の
チャンネルは、交差チャンネル508(これは、図16では、垂直に配向されて
いる)により、共に「接続される」。この穿孔工程中に形成される入口穴を密封
するために、プラグが使用され得る。
【0070】 さらに、スクラバーボックス450は、ウエハがこのローラーボックスを出て
いくとき、これらのウエハを充分にリンスしフラッシュするために、これらのウ
エハがこのスクラバーボックスを出ていく位置にて、流体ノズル(図示せず)を
包含し得る。本発明のこの局面によれば、このスクラブステーション後リンスは
、このウエハがボックス450を出ていくにつれて、解き放たれた粒子が、この
ウエハ表面に戻って沈降しないことを保証する。あるいは、スクラバーボックス
450にて噴霧ノズルを使用する代わりに、このスクラブステーション後リンス
は、このウエハがウエハトラックに入る位置にて、このトラックでの流体流れを
高めることにより、達成され得る。このようにして、既存のウエハトラック配置
が使用され得、それにより、余分な流体ノズルたまはスクラバーボックス450
の必要性がなくなる。
【0071】 望ましいなら、洗浄ステーション400は、さらに、フッ化水素(HF)酸研
磨ステーションを包含し得、これは、第二スクラブステーション410とリンス
ステーション412(図示せず)との間に位置している。このHFステーション
は、このウエハをこのHF酸に浸けるために、ロボットまたは他の取り扱い装置
を包含し得る。このHFステーションから、このウエハは、水トラック406を
経て、リンスステーション412へと移動する。
【0072】 今ここで、図18〜21を参照して、リンスステーション412の構造および
操作を記述する。ウエハが、第三水トラック406の第二ステージング領域44
4を出ていくにつれて、それらは、リンスステーション412により、受容され
る。第三水トラック406とリンスステーション412との間の空隙は、ウエハ
が、このリンス工程前に、それ程乾燥しないことを保証するために、比較的に小
さい。一般に、リンスステーション412は、ウエハを連続様式で受容し、各ウ
エハを1種またはそれ以上のリンス溶液(例えば、脱イオン水)で充分にリンス
し、そして各リンス済みウエハをロボット112(これは、その後、このリンス
済みウエハを、回転乾燥機ステーション414(図7を参照)へと運搬する)に
よる回収のために保持するように、配置される。さらに具体的には、リンスステ
ーション412は、リンスリング600を包含し、これは、好ましくは、このリ
ンス工程中に、ウエハを保持するためのリング体602を包含する。リング体6
02は、流体マニホルド604を包含し、これは、各個の流体供給ポート606
Aおよび606Bと連絡している。マニホルド604は、有利には、複数のジェ
ット608と共に配置されているが、これらは、リング体602の実質的に水平
な面610の周りに、配置されている。流体供給ポート606は、マニホルド6
04の内部領域に流体を供給し、その結果、流体は、実質的に均一な圧力で、各
個のジェット608から排出され、そして表面610により規定されたアーチの
周りに、流れる。流体供給ポート606、マニホルド604およびジェット60
8の配向は、図18bで最もよく見える。
【0073】 図20および21を特に参照すると、ウエハが第三水トラック406から排出
されるにつれて、このウエハの移動面は、実質的に、平面612により規定され
る。図21で最もよく見えるように、平面612は、リング体602の表面61
0により規定される水平面の僅かに上(例えば、5〜20 mm、好ましくは、
約10 mm)にある。ジェット608から排出された流体(図示せず)は、こ
の水トラックからこのリンスリングへと運搬されるにつれて、このウエハを支持
する。
【0074】 ここで図18aを参照すると、ウエハは、矢印626の方向で、第三水トラッ
ク406から、このリンスリングへと運搬されるとき、好ましくは、各個のウエ
ハガイド614、616と各個のセンタリングピン618、620、622およ
び624との相互作用により、マニホルド604の中心に置かれる。各ワークピ
ースの周縁は、ウエハガイド614、616および/または1個またはそれ以上
のセンタリングピン618〜624と穏やかに接触し得るものの、平坦ウエハ面
とリンスリング体602との間の機械的な接触は、実質的に回避される。
【0075】 ウエハが、このリンスリング内にて、実質的に中心にされ、そしてジェット6
08により排出された流体により支持されるとき(図18)、このウエハは、第
三水トラック406から完全に運搬されてこのリンスステーション内で受容され
たと言われる。この時点で、リンスリング600は、図21で示すように、下向
きに傾けられ、10°〜50°の範囲、最も好ましくは、約30°の角度だけ、
その水平面から逸脱する。この位置で、好ましくは、このウエハの上面および底
面の両方に、層流のリンス液が供給される。さらに特定すると、第一流体ノズル
628は、実質的に矢印630の方向にて、このウエハの上面で、リンス液を排
出するように、配置されている。第二リンスノズル632は、適当には、実質的
に矢印634の方向にて、このウエハの底面で、リンス液を排出するように、配
置されている。リンスステーション412は、本明細書においては、傾斜可能リ
ンスリングを有するとして記述されているものの、このリンスリングは、その水
平位置から約10〜50°の角度、最も好ましくは、約30°の角度で、固定さ
れ得ることが理解されるべきである。
【0076】 各ウエハの上面および下面の両方を同時にリンスすることにより、処理量が高
められ得る。さらに、上面リンス液供給ポート628を、ワークピースの上面に
対して、図21で示すように配向することにより、このワークピースの周縁もま
た、効果的にリンスされ得る。好ましい実施態様では、リンス液は、0.1〜2
0リットル/分の範囲の速度、最も好ましくは、約4〜5リットル/分の速度で
、この頂面に適用される。同様に、リンス液は、有利には、0.1〜10リット
ル/分の範囲の速度、最も好ましくは、約1.5リットル/分の速度で、この底
面に適用される。
【0077】 このリンス操作が完了すると、この傾斜リンスリングアセンブリは、その水平
位置に戻るように操作され、そしてロボット112は、リンス済みウエハを回収
し、そしてそれらを回転乾燥機ステーション414へと運搬する。図20bで特
に描写しているように、ロボット112のウェットエンドエフェクター118は
、リンスステーション412に入って、リンス済みウエハを回収し、そしてそれ
を回転乾燥機ステーション414へと運搬する。あるいは、ロボット112は、
このリンスリングが傾斜位置である状態で、このリンスリングから、リンス済み
ウエハを回収し得る。
【0078】 回転乾燥機ステーション414は、図23で図示されている。回転乾燥機ス
テーション414で使用するのに適当な回転乾燥機アセンブリは、米国特許出願
第08/927,661号で充分に開示されており、そして詳細に説明されてい
るが、この出願は、本願と同じ日に出願され、同一の譲渡人であり、その内容は
、本明細書中で参考として援用されている。それゆえ、簡潔にするために、回転
乾燥機ステーション414の操作は、以下で簡単に記述するにすぎない。
【0079】 回転乾燥機ステーション414は、回転乾燥機700を包含し、これは、シュ
ラウドまたはシールド704により規定されたチャンバ701内に封入されてい
る。回転乾燥機700は、ワークピースプラットホーム702を包含し、これは
、このプラットホームをモーター760により高速で回転させると、その上でウ
エハを確実に保持するように、配置されている。好ましくは、回転乾燥機700
の質量を少なくするために、プラットホーム702を通って、複数の質量低減開
口部が形成されており、それにより、回転サイクルの加速および減速時間を最小
にする。
【0080】 複数の握りフィンガー706は、プラットホーム702上に配置されたウエハ
の縁部をロボット112(ウェットエンドエフェクター118)が掴むまたは握
るように、プラットホーム702の外周の回りに、旋回的に取り付けられている
。フィンガー706は、ヘッド部分706a(これは、プラットホーム702の
上に伸長し、そしてこのウエハと噛み合う)、および柄部分706b(これは、
プラットホーム702の下に伸長している)を包含する。握りフィンガー706
、または少なくとも、これらのウエハと接触する部分706aは、保持されたウ
エハを損傷または引っ掻かないやわらかい柔軟な材料(例えば、Ertalyt
e)から製造される。プラットホーム702の下に取り付けたバネ負荷プランジ
ャー710は、柄部分706bと放射状に外向きに曲げて接触し、それにより、
ヘッド部分706bを放射状に内向きに移動して、握りフィンガー706を、握
り位置または固定位置で維持する。回転乾燥機700上に装填されたウエハは、
プラットホーム702上には直接載らないが、その代わりに、支持ピン703上
に載り、これらはまた、やわらかい柔軟な材料から製造される。
【0081】 プラットホーム702の下に取り付けられたアクチュエータアセンブリ714
は、これらの握りフィンガーを展開して、以下のようにして、保持したウエハを
解放するのに役立つ。アセンブリ714は、それを空気シリンダー750に装着
することによって、垂直に移動可能である。アクチュエータアセンブリ714を
上方へ移動したとき、アセンブリ714の一部をなすカムリング716は、握り
フィンガー706の柄部分706bと接触する。これらの柄部分が、カムリング
716のカム面に沿って滑るにつれて、それらは、強制的に、放射状に内向きに
旋回され、これは、次に、ヘッド部分706aを放射状に外向きに旋回させて、
ウエハを解放するか、またはウエハを装填するクリアランスを与える。
【0082】 それゆえ、ロボット112のウェットエンドエフェクター118が、リンスス
テーション412から、リンス済みウエハを回収した後、それは、このウエハを
、回転乾燥機700のプラットホーム702上へと運搬する。握りフィンガー7
06は、このウエハが装填され正しく配置されるまで、アクチュエータアセンブ
リ714により、開放位置で保持される。エンドエフェクター118が離れた後
、アクチュエータアセンブリ714は低下して、柄部分706bと接触しなくな
り、また、プランジャー710は、再度、握りフィンガー706を閉鎖位置の方
へと曲げて、このウエハを回転乾燥用に固定する。プラットホーム702は、モ
ーター760により、好ましくは、約1.0秒で、およそ4,000 rpmの
速度まで加速され、その速度で、好ましくは、約20秒間回転されて、このウエ
ハ表面から、全ての水および他の微粒子を除去し、次いで、好ましくは、約1.
0秒で、停止状態まで減速される。
【0083】 回転中、プラットホーム702上で保持されたウエハに加えられる遠心力は、
水滴を、このウエハ表面から、放射状に外向きに引き、除く。この乾燥工程を増
進するために、強制空気のカラム(a column of forced a
ir)を、このウエハ上面を横切って配向するように、シールド704の上に、
空気流モジュールが取り付けられ得る。
【0084】 一旦、回転乾燥が完了すると、アクチュエータアセンブリ714は、再度、上
向きに移動されて、柄部分706bと噛み合い、そして上記のように、握りフィ
ンガー706を解放位置に移動させる。アセンブリ714は、乾燥したウエハが
ロボット112のドライエンドエフェクター116により回収されて回転乾燥機
ステーション414から取り除かれるまで、この位置で維持される。ロボット1
12は、次いで、この乾燥したウエハを、このウエハを取り出したカセットのス
ロットへと戻す。
【0085】 機械10の操作中にて、このCMPステーション、リンスステーション、水ト
ラック、および洗浄ステーションのスクラバーボックスには、種々の流体を供給
する必要がある。さらに、この洗浄操作中にて、このスクラバーボックスには、
複数の異なる流体(例えば、3種)を供給する必要がある場合がある。機械10
は、好ましくは、これらの種々の操作に対して、所望の体積流速が供給され、こ
の流速は、下記のように、流体供給圧の変化による影響は、実質的に受けないよ
うに、配置される。
【0086】 今ここで、図22を参照すると、代表的な流体制御スキーム800が図示され
ている。「流体部位」802と命名したボックスは、リンスステーション412
、スクラバーボックス450中の流体入口ポート、またはウエハリンス供給ポー
トを包含し得る。機械10に付随したプロセス制御装置(または数個のプロセス
制御装置の1個)804を操作することにより、流体部位802への流体の体積
流速は、その流体供給圧の変動があるにもかかわらず、正確に制御し得る。
【0087】 制御スキーム800は、さらに、流体源806、流量計808、ポンプ810
、ポンプ制御装置812、およびプロセッサ804を包含し、この流体源は、所
望の処理流体の供給を保持するためにあり、このポンプは、この流体の流れを制
御するためにあり、そしてこのポンプ制御装置は、ポンプ810にポンプ制御信
号を与えるためにある。本発明の1実施態様によれば、適当なポンプは、蠕動ポ
ンプモデル番号07015−21(これは、MasterFlex社(Vern
on Hills, Illinois)により、製造された)を包含し得る。
ポンプ制御装置812は、使用するポンプと適合する任意の適当なポンプ制御装
置を包含し得る。あるいは、ポンプ制御装置812は、なくしてもよく、システ
ムプロセッサ804は、このポンプ制御装置として、機能し得る。流量計808
は、好ましくは、非接触流量計(例えば、Malema社(San Ramon
, California)から入手できる製品モデル番号M−10000)で
ある。
【0088】 流量計808を通って流体部位802へと流れる所望の流速は、機械10の操
作前(または操作中)にて、プロセッサ804にプログラム化される。操作中に
て、流量計808は、電気信号814を出力するが、これは、流量計808を通
って部位802へと流れる実際の流速を表示している。プロセッサ804は、電
気信号814を受容し、それに応答して、この実際の流速を、所望の設定値流速
から所定範囲内で維持するために、必要に応じて、ポンプ制御装置812がポン
プ810を調節するようにし向ける。
【0089】 さらに特定すると、もし、流量計808から得た実際の流速が、信号814に
より示されているように、この設定値から、所定のエラー変動幅より大きく逸脱
しているなら、プロセッサ804は、電気信号816をポンプ制御装置812へ
と出力する。ポンプ制御装置812は、次いで、信号818をポンプ810へと
送り、それにより、流量計808を通る実際の流速と所望の流速との間の誤差を
最小にするのに必要な量でこのポンプ速度を変えるように、このポンプをし向け
る。好ましくは、この機能を行うために、プロセッサ804により、リアルタイ
ムの閉鎖ループPID制御スキームが使用される。
【0090】 本発明で使用され得る代表的な流体流れ制御システムの論述については、米国
特許出願第08/720,744号(これは、1996年10月2日に出願され
、そして「Methods and Apparatus For Measu
ring and Dispensing Processing Solut
ion to a CMP Machine」の表題である)、および米国暫定
特許出願第60/054,764号(これは、1997年8月5日に出願され、
そして「Closed Loop Flow Control System
for Post−CMP Cleaners」の表題である)(これらの両方
は、本願と同一の譲渡人であり、その内容は、本明細書中で参考として援用され
ている)を参照せよ。
【0091】 装填/取出ステーション100および洗浄ステーション400は、好ましくは
、1〜10等級のクリーンルーム環境で維持される。それゆえ、装填/取出ステ
ーション100および洗浄ステーション400のハウジングは、気密シールを包
含し、そして指示およびCMPステーション200、300で出ていく汚染粒子
がこのクリーンルーム環境に入らないように、装填/取出ステーション100お
よび洗浄ステーション400から指示ステーション200内への正の空気流を使
用すべきである。
【0092】 操作者が、機械10をモニターし、再構成し、修理し、そうでなければ、操作
するために、タッチスクリーンディスプレイ(図示せず)が使用され得る。さら
に特定すると、タッチスクリーンディスプレイパネルは、上記機械10の種々の
操作上の特徴の図式的な描写を、好ましくは、三次元で表示するように、配置さ
れ得る。例えば、もし、操作者が、装填ステーション100に新しいカセットを
装填することを望んでいるなら、操作者は、このタッチスクリーンディスプレイ
上の、装填ステーション100を表わす図式的アイコンを押し得る。このタッチ
スクリーンディスプレイは、次いで、操作者を質問によって促し得、または単に
、操作者を許容し得て、このカセット装填機能に付随したドアに触れさせ、それ
により、このドアを開ける。この型のタッチスクリーン相互作用は、事実上、本
明細書中で記述した機械10の任意の局面に適用され得る。
【0093】 これらの水トラックおよび種々の処理ステーションにて、センサ420を使用
する代わりに、ウエハが洗浄ステーション400を通って移動するにつれて、こ
のウエハを追跡するために、また、この洗浄工程中にて、エラー、遅延、ウエハ
破損などが起こったかどうかおよびいつ起こったかを検出するために、視覚シス
テムが使用できる。このカセット内のこれらのウエハの位置をマッピングする視
覚システムと同様に、この目標のために、Acuity Imaging In
c.からのIVS Express視覚システムが使用できる。
【0094】 本発明は、添付の図面で図示した特定の実施態様に関連して、記述したものの
、本発明は、そのようには限定されないことが分かる。上記特許請求の範囲で示
した本発明の精神および範囲から逸脱することなく、問題の研磨、洗浄、リンス
および乾燥システムの配置および実行の際に、変更を行い得る。
【図面の簡単な説明】
【図1】 図1は、本発明に従って、ワークピースを研磨、洗浄、リンス、回転乾燥およ
び取り出しするための一体化機械の平面図である。
【図2】 図2は、図1の機械の正面図である。
【図3】 図3は、図1の機械の装填/取出ステーションおよび一部の指示ステーション
の側面図である。
【図4】 図4は、図1の機械の装填/取出ステーションで使用されるロボットの側面図
である。
【図5】 図5は、図4のロボットの2個のエンドエフェクター構成の分解側面図である
【図6】 図6は、図4および5のエンドエフェクターの1個の分解組立平面図である。
【図7】 図7は、図1の機械の洗浄ステーションの平面図である。
【図8】 図8は、図7の洗浄ステーションの第一水トラックの分解組立平面図である。
【図9】 図9は、図8の線9−9によって取り出した第一水トラックの断面図である。
【図10】 図10は、図7の洗浄ステーションの第二水トラックの分解組立平面図である
【図11】 図11は、図10の線11−11によって取り出した第二水トラックの断面図
である。
【図12】 図12は、図10の線12−12によって取り出した第二水トラックの断面図
である。
【図13】 図13は、図7の洗浄ステーションの第三水トラックの分解組立平面図である
【図14】 図14は、図13の線14−14によって取り出した第二水トラックの断面図
である。
【図15】 図15は、図1の機械のスクラバーボックスの正面図である。
【図16】 図16は、図15のスクラバーボックスの頂部パネルの平面図である。
【図17】 図17は、図15のスクラバーボックスの後面図である。
【図18a】 図18aは、図1の機械のリンスステーションの平面図である。
【図18b】 図18bは、図18aのリンスステーションの一部をなす流体供給ポート、マニ
ホルドおよびジェットの断面図である。
【図19】 図19は、図18のリンスステーションの側面図である。
【図20a】 図20aは、その水トラックに近接した配置位置での、図18のリンスステー
ションの分解組立平面図である。
【図20b】 図20bは、このリンスステーションの他の分解組立平面図であり、これは、
リンス済みウエハを回収するために、ロボットエンドエフェクターのこのステー
ションへの進入を描写している。
【図21】 図21は、傾斜位置での図18のリンスステーションの側面図である。
【図22】 図22は、図1の機械と共に使用される流体流れ制御システムのブロック線図
である。
【図23】 図23は、図1の機械の回転乾燥機ステーションの断面図である。
【図24】 図24は、本発明によるウエハ流れの過程を示す概略図である。
───────────────────────────────────────────────────── フロントページの続き (71)出願人 305 North 54th Street, Chandler, Arizona 85226 U.S.A. (72)発明者 カールスラッド, クリス アメリカ合衆国 アリゾナ 85226, チ ャンドラー, ダブリュー. カーラ ビ スタ ドライブ 4685 (72)発明者 アレン, ロバート エフ. アメリカ合衆国 アリゾナ 85234, ギ ルバート, イー. バーバリタ 413 (72)発明者 ジョーダン, トビー アメリカ合衆国 アリゾナ 85233, ギ ルバート, エス. サドル ストリート 970 (72)発明者 ハワード, クレイグ エム. アメリカ合衆国 アリゾナ 85233, ギ ルバート, エス. サヒュアロ ドライ ブ 687 (72)発明者 ヘイマー, アーサー アメリカ合衆国 アリゾナ 85248, サ ン レイクス, グレンバーン ドライブ 26052 (72)発明者 カネン, ジェフ アメリカ合衆国 イリノイ 60185, シ カゴ, ノース アベニュー 1240 (72)発明者 ゴパラン, ペリヤ アメリカ合衆国 アリゾナ 85225, チ ャンドラー, イー. ガリー ドライブ 1780 (72)発明者 ソーントン, ウィリアム アメリカ合衆国 アリゾナ 85220, ア ペイク ジャンクション, エヌ. アイ ロンウッド ナンバー44 1617 (72)発明者 マサーニ, ジョン アール. アメリカ合衆国 アリゾナ 85224, チ ャンドラー, ダブリュー. コルト コ ート 1707 (72)発明者 カルデロン, フェルナンド アメリカ合衆国 テキサス 79922, エ ル パソ, シート レグアス 4005

Claims (131)

    【特許請求の範囲】
  1. 【請求項1】 ワークピースを研磨し、洗浄し、リンスし、そして乾燥する
    ための一体化機械であって、該機械は、以下を包含する: 装填/取出ステーションであって、該装填/取出ステーションは、研磨、洗浄
    、リンスおよび乾燥すべきワークピースのカセットを受容するための複数のプラ
    ットホームを有する; 第一運搬手段であって、該カセットから該ワークピースを回収するための、第
    一運搬手段; 指示ステーションであって、該第一運搬手段から未研磨ワークピースを受容す
    るため、およびさらに処理する前に研磨済みワークピースを保持するための、指
    示ステーション; 研磨ステーションであって、未研磨ワークピースを研磨するための、研磨ステ
    ーション; 第二運搬手段であって、未研磨ワークピースを該指示ステーションから該研磨
    ステーションへと運搬するため、および研磨済みワークピースを該指示ステーシ
    ョンへと運搬するための、第二運搬手段; 洗浄ステーションであって、研磨済みワークピースを洗浄、リンスおよび乾燥
    するための、洗浄ステーション; 第三運搬手段であって、研磨済みワークピースを該指示ステーションから該洗
    浄ステーションへと運搬するための、該第三運搬手段; 第四運搬手段であって、洗浄し、リンスしそして乾燥したワークピースを該洗
    浄ステーションから該カセットへと戻すための、該第四運搬手段;および マッピングシステムであって、該カセット内での各ワークピースの位置および
    場所をモニターするための、該マッピングシステム。
  2. 【請求項2】 前記第一および第四運搬手段が、1個のロボットに組み込ま
    れている、請求項1に記載の機械。
  3. 【請求項3】 前記第一運搬手段が、ドライエンドエフェクターを包含する
    、請求項2に記載の機械。
  4. 【請求項4】 前記指示ステーションが、回転可能指示テーブルを包含し、
    該回転可能指示テーブルが、前記未研磨ワークピースを保持するための複数の装
    填カップを有し、該複数の装填カップが、前記研磨済みワークピースを保持する
    ための複数の取出カップと交互になっている、請求項1に記載の機械。
  5. 【請求項5】 前記第二運搬手段が、移動可能器具を包含し、該移動可能器
    具が、キャリヤー要素を有し、該キャリヤー要素が、前記装填カップから前記未
    研磨ワークピースを回収し、該未研磨ワークピースを前記研磨ステーションの研
    磨パッドに押し付け、そして前記研磨済みワークピースを前記取出カップへと戻
    す、請求項4に記載の機械。
  6. 【請求項6】 前記第三運搬手段が、フリッパーを包含し、該フリッパーが
    、前記研磨済みワークピースを前記指示テーブルの前記取出カップから前記洗浄
    ステーションへと移動する、請求項5に記載の機械。
  7. 【請求項7】 前記洗浄ステーションが、複数のスクラブステーション、リ
    ンスステーションおよび乾燥ステーションを包含する、請求項1に記載の機械。
  8. 【請求項8】 前記第一および第四運搬手段が、ロボットのドライエンドエ
    フェクターに組み込まれており、そして前記ワークピースが、該ロボットのウェ
    ットエンドエフェクターにより、前記リンスステーションから前記乾燥ステーシ
    ョンへと移動される、請求項1に記載の機械。
  9. 【請求項9】 以下を有する機械:ウエハカセットへおよびそこから半導体
    ウエハを装填および取り出すための第一ステーション、該ウエハを研磨するため
    の第二ステーション、該ウエハを洗浄、リンスおよび乾燥するための第三ステー
    ション、および該第一、第二および第三ステーション間で該ウエハを運搬するた
    めの手段。
  10. 【請求項10】 ワークピースを処理する方法であって、該方法は、以下の
    工程を包含する: 研磨、洗浄、リンスおよび乾燥すべきワークピースを供給する工程; 該ワークピースを、ロボットを用いて、装填/取出ステーションから研磨ステ
    ーションへと運搬する工程; 該ワークピースを研磨する工程; 該ワークピースを、該研磨ステーションから、洗浄、リンスおよび乾燥ステー
    ションへと運搬する工程; 該ワークピースを洗浄、リンスおよび乾燥する工程;そして 該ワークピースを、該ロボットを用いて、該洗浄ステーションから、該装填/
    取出ステーションへと戻す工程。
  11. 【請求項11】 前記ワークピースが、半導体ウエハを包含する、請求項1
    に記載の機械。
  12. 【請求項12】 前記装填/取出ステーションが、3個のプラットホームを
    包含する、請求項1に記載の機械。
  13. 【請求項13】 前記プラットホームが、前記カセットを支持するための傾
    斜基部を包含し、該傾斜基部が、前記ワークピースが該カセットの後部へと滑る
    ことを保証する、請求項1に記載の機械。
  14. 【請求項14】 前記基部が、5〜18度の傾斜角を有する、請求項13に
    記載の機械。
  15. 【請求項15】 前記傾斜角が、エレベーターアセンブリ、サーボアセンブ
    リ、ステッパーモーターおよびトルクモーターアセンブリからなる群から選択さ
    れる機構の使用により、調整される、請求項14に記載の機械。
  16. 【請求項16】 前記各プラットホームが、内部プラットホームドアおよび
    外部プラットホームドアを包含し、該内部プラットホームドアが、前記装填/取
    出ステーションを外部環境から隔離するために、該プラットホームの内側に位置
    しており、そして該外部プラットホームドアが、前記カセットの該プラットホー
    ム上への装填を可能にするために、該装填/取出ステーションの外側に位置して
    いる、請求項1に記載の機械。
  17. 【請求項17】 前記内部ドアが、前記外部ドアが開く前に、閉じるように
    配置されている、請求項16に記載の機械。
  18. 【請求項18】 前記外部ドアが、制御ロッキング機構を包含し、該制御ロ
    ッキング機構が、前記内部ドアが閉まるまで、該外部ドアの開放を防止する、請
    求項17に記載の機械。
  19. 【請求項19】 前記プラットホームが、さらに、前記カセットをモニター
    するためのセンサを包含する、請求項16に記載の機械。
  20. 【請求項20】 前記センサが、カセット位置センサおよび外部ドアセンサ
    を包含し、該カセット位置センサが、前記カセットが前記プラットホーム上で正
    しく配置されているかどうかをモニターするためにあり、そして該外部ドアセン
    サが、前記外部ドアが開いているかまたは閉じているかを決定するためにある、
    請求項19に記載の機械。
  21. 【請求項21】 前記プラットホームが、複数のカセットの配置に適合する
    ように、配置されている、請求項1に記載の機械。
  22. 【請求項22】 前記カセットの配置が、6インチウエハカセット、8イン
    チウエハカセット、300ミリメートルウエハカセット、およびStandar
    d Machine Interfaceウエハポッドからなる群から選択され
    る、請求項21に記載の機械。
  23. 【請求項23】 前記プラットホームが、静電気の蓄積を最小にするための
    イオン化剤を含有する、請求項1に記載の機械。
  24. 【請求項24】 さらに、HEPAフィルターを包含し、該HEPAフィル
    ターが、前記外部プラットホームドアを開いたとき、空気中浮遊粒子を該プラッ
    トホームから締め出すために、正の空気層流を供給するように、前記機械の上部
    に取り付けられている、請求項16に記載の機械。
  25. 【請求項25】 前記ロボットが、六軸ロボットであり、該六軸ロボットが
    、乾燥ワークピースを取り扱うための乾燥ウエハ握り手段、および湿潤ワークピ
    ースを取り扱うための湿潤ウエハ握り手段を有する、請求項2に記載の機械。
  26. 【請求項26】 前記乾燥ウエハ握り手段が、ドライエンドエフェクターを
    包含し、そして前記湿潤ウエハ握り手段が、ウェットエンドエフェクターを包含
    する、請求項25に記載の機械。
  27. 【請求項27】 前記ウェットおよびドライエンドエフェクターが、真空穴
    を包含し、該真空穴が、前記ワークピースに真空圧を加えるため、および該ワー
    クピースを前記エンドエフェクター上で保持するためにある、請求項26に記載
    の機械。
  28. 【請求項28】 前記エンドエフェクターが、静電放電の蓄積に抵抗するよ
    うに、静電気消散材料で被覆されている、請求項26に記載の機械。
  29. 【請求項29】 前記マッピングシステムが、前記カセット内の前記ワーク
    ピースの位置および場所に対応する視覚データを得るための装置を包含する、請
    求項1に記載の機械。
  30. 【請求項30】 前記装置が、前記視覚データを生じるための光学走査装置
    を包含する、請求項29に記載の機械。
  31. 【請求項31】 前記光学走査装置が、ビデオカメラである、請求項30に
    記載の機械。
  32. 【請求項32】 さらに、前記マッピングシステムの有効性を改良するため
    の後方点灯システムを包含する、請求項30に記載の機械。
  33. 【請求項33】 前記マッピングシステムが、さらに、プロセッサを包含し
    、該プロセッサが、前記視覚データを前記光学走査装置から受容しかつ該視覚デ
    ータをワークピース位置データへと変換するように、配置されている、請求項3
    0に記載の機械。
  34. 【請求項34】 前記ワークピース位置データが、32ビットからなり、該
    ビットの30ビットは、前記各ワークピースのカセットおよびスロット情報を規
    定し、該ビットの1ビットは、ワークピースが2個のカセットスロット間でクロ
    ススロットしていることを示すための第一エラービットを規定し、そして該ビッ
    トの1ビットは、1個のカセットスロットが複数のワークピースを含んでいるこ
    とを示すための第二エラービットを規定する、請求項33に記載の機械。
  35. 【請求項35】 前記洗浄ステーションが、水トラックを包含し、該トラッ
    クが、複数の流体ジェットを包含し、該流体ジェットが、流体を排出して前記ワ
    ークピースを該水トラックに沿って前方方向に推進するように、配置されている
    、請求項1に記載の機械。
  36. 【請求項36】 前記前方ジェットが、20〜70度の範囲で、前記水トラ
    ックに対する角度で、前記流体を排出するように、配向されている、請求項35
    に記載の機械。
  37. 【請求項37】 さらに、前記水トラックの下に、流体マニホルドを包含し
    、該流体マニホルドが、前記流体を前記ジェットに供給する、請求項35に記載
    の機械。
  38. 【請求項38】 前記水トラックが、さらに、逆進ジェットを包含し、該逆
    進ジェットが、前記ワークピースが前記水トラックに沿って前方方向に移動しな
    いように、流体を逆方向に排出するように操作可能である、請求項35に記載の
    機械。
  39. 【請求項39】 前記水トラックが、さらに、少なくとも1個の垂直移動可
    能なステージングピンを包含し、該ステージングピンが、前記ワークピースを該
    水トラックで保持するために、高い位置へと垂直に移動可能であり、また、該ワ
    ークピースを前記ステーションへと通すことができるように、低い位置へと移動
    可能である、請求項35に記載の機械。
  40. 【請求項40】 前記水トラックが、さらに、少なくとも1個の垂直移動可
    能なステージングピンを包含し、該ステージングピンが、前記ワークピースが前
    方方向に移動するのを防止する際に前記逆進ジェットを補助するために、高い位
    置へと垂直に移動可能であり、また、該ワークピースを該水トラックに沿って前
    方方向に移動させるために、低い位置へと移動可能である、請求項38に記載の
    機械。
  41. 【請求項41】 前記洗浄ステーションが、水トラックを包含し、該トラッ
    クが、検出センサを包含し、該検出センサが、請求したワークピースを数えるた
    め、および捕捉されたまたは破損したワークピースを検出するためにある、請求
    項1に記載の機械。
  42. 【請求項42】 前記洗浄ステーションの各々が、複数のローラー対を囲ん
    でいるスクラバーボックスを包含する、請求項1に記載の機械。
  43. 【請求項43】 前記ローラー対が、交互駆動ローラー対およびスクラビン
    グローラー対を包含し、該駆動ローラー対が、第一駆動速度S1で駆動される頂
    部および底部ローラーを包含し、そして該スクラビングローラー対が、第二駆動
    速度S2で駆動される底部ローラーおよび第三駆動速度S3で駆動される頂部ロ
    ーラーを包含する、請求項42に記載の機械。
  44. 【請求項44】 前記スクラバーボックスが、クイックリリース解除ファス
    ナーアセンブリを包含し、該クイックリリース解除ファスナーアセンブリが、該
    スクラバーボックスを前記機械に固定可能であるが解除可能に係合するためにあ
    る、請求項42に記載の機械。
  45. 【請求項45】 前記スクラバーボックスが、底部パネルを包含し、該底部
    パネルが、流体出口を有し、該流体出口を通って、流体が、該スクラバーボック
    スから流出し得る、請求項42に記載の機械。
  46. 【請求項46】 前記スクラバーボックスが、少なくとも1個の流体入口ポ
    ートを有する頂部パネル、および該スクラバーボックス内の特定のローラー対に
    流体を送達するように、配置されているマニホルドを包含する、請求項42に記
    載の機械。
  47. 【請求項47】 前記頂部パネルが、第一流体入口ポート、第二流体入口ポ
    ートおよび第三流体入口ポートを包含し、該第一流体入口ポートが、第一群のロ
    ーラー対と近接して第一流体を分配するように、第一マニホルドと連絡しており
    、該第二流体入口ポートが、第二群のローラー対と近接して第二流体を分配する
    ように、第二マニホルドと連絡しており、そして該第三流体入口ポートが、第三
    群のローラー対と近接して第三流体を分配するためにある、請求項46に記載の
    機械。
  48. 【請求項48】 前記各マニホルドが、複数の伸長分配チャンネルを包含し
    、該伸長分配チャンネルが、他のマニホルドと関連した他のチャンネルと異なる
    、請求項47に記載の機械。
  49. 【請求項49】 前記頂部パネルが、一体型で実質的に継ぎ目のない部品で
    あり、該部品が、前記流体が前記マニホルドから漏れることなしに、該マニホル
    ドの加圧を可能にする、請求項48に記載の機械。
  50. 【請求項50】 前記スクラバーボックスが、さらに、出口リンスノズルを
    包含し、該出口リンスノズルが、該スクラバーボックスから出ていくワークピー
    スをリンスするために、該ワークピースが該スクラバーボックスから出ていく位
    置に近接して、配置されている、請求項43に記載の機械。
  51. 【請求項51】 前記洗浄ステーションが、さらに、フッ化水素酸ステーシ
    ョンを包含する、請求項1に記載の機械。
  52. 【請求項52】 前記洗浄ステーションが、リンスステーションを包含し、
    該リンスステーションが、ワークピースを保持するためのリンスリング、および
    該ワークピース上へと流体を排出するための少なくとも1個の流体ノズルを包含
    する、請求項1に記載の機械。
  53. 【請求項53】 前記リンスリングが、ガイドおよび中心ピンを包含し、該
    ガイドおよび中心ピンが、水トラックから前記リンスステーションに入るワーク
    ピースを正しく配置するために、該リングの周囲の回りに配置されており、該リ
    ングが、前記ワークピースを覆う前記流体の層流を促進するために、およそ10
    〜50度の角度で、下方へ傾斜している、請求項52に記載の機械。
  54. 【請求項54】 前記リンスステーションが、第一ノズルおよび第二ノズル
    を包含し、該第一ノズルが、前記流体を、前記ワークピースの上面上へと排出し
    、そして前記第二ノズルが、該流体を、該ワークピースの下面上へと排出する、
    請求項53に記載の機械。
  55. 【請求項55】 前記洗浄ステーションが、乾燥ステーションを包含し、該
    乾燥ステーションが、スピン乾燥機を包含し、該スピン乾燥機が、ワークピース
    プラットホームおよび握り手段を有し、該握り手段が、該プラットホームが高速
    で回転したとき、該ワークピースをその上に確実に保持するために、該プラット
    ホームの回りに取り付けられている、請求項1に記載の機械。
  56. 【請求項56】 前記握り手段が、複数の握りフィンガーを包含し、該握り
    フィンガーが、前記プラットホームの外周の回りに回動的に(pivotall
    y)取り付けられている、請求項55に記載の機械。
  57. 【請求項57】 前記握りフィンガーは、ヘッド部分およびバネ負荷柄部分
    を包含し、該ヘッド部分が、前記プラットホームの上部に伸長し、そして前記ワ
    ークピースと係合しており、また、該バネ負荷柄部分が、該プラットホームの下
    部に伸長し、そして該ヘッド部分を軸方向に内向きに偏向して、前記握りフィン
    ガーを握り位置で維持する、請求項56に記載の機械。
  58. 【請求項58】 さらに、垂直移動可能なアクチュエータアセンブリを包含
    し、該アクチュエータアセンブリが、前記柄部分と係合し、そして該柄部分を放
    射状に内向きに偏向し、かつ前記ヘッド部分を放射状に外向きに偏向して、前記
    ワークピースの解除を行う、請求項57に記載の機械。
  59. 【請求項59】 さらに、保護シュラウドを包含し、該保護シュラウドが、
    前記ワークピースから除去される流体および微粒子を隔離するために、前記プラ
    ットホームを取り囲んでいる、請求項58に記載の機械。
  60. 【請求項60】 さらに、流体流れ制御システムを包含し、該流体流れ制御
    システムが、前記機械中の種々の流体部位に流体を正確に分配するためにあり、
    そして流体源、流量計、ポンプ、ポンプ制御装置およびプロセッサを包含し、該
    流体源が、所望の処理流体の供給を持続するためにあり、該流量計が、流体の流
    速を測定するためにあり、該ポンプが、流体流れを制御するためにあり、該ポン
    プ制御装置が、該ポンプにポンプ制御信号を与えるためにあり、そして該プロセ
    ッサが、該流速を表示する該流量計からの信号を受容するため、および該流速を
    所定範囲内で維持するのに必要な該ポンプ制御信号を調整するように、該ポンプ
    制御装置を向けるためにある、請求項1に記載の機械。
  61. 【請求項61】 さらに、タッチスクリーンディスプレイを包含し、該ディ
    スプレイが、前記機械の種々の操作上の特徴の図式的な描写を提供し、そして操
    作者が該機械をモニターし操作できるようにする、請求項1に記載の機械。
  62. 【請求項62】 自動化多機能半導体ウエハ処理機であって、該処理機は、
    以下を包含する: (1) ウエハカセット用装填/取出ステーションであって、該ステーション
    は、プラットホームを包含し、各プラットホームは、ウエハを含むカセットを受
    容するように、また、該カセットを傾斜配向で支持してロボットのエンドエフェ
    クターが該ウエハにアクセスできるように、そしてウエハが該カセットから滑り
    出すリスクを少なくするように、配置されている、ステーション; (2) ロボットであって、該ロボットは、湿潤ウエハを取り扱うためのエン
    ドエフェクターおよび乾燥ウエハを取り扱うための別個のエンドエフェクターを
    包含する、ロボット; (3) 指示ステーションであって、(a)該装填/取出ステーションの該プ
    ラットホーム上のウエハカセットからの未研磨ウエハ、および(b)研磨ステー
    ションからの研磨済みウエハ、を受容するための、指示ステーション; (4) 研磨ステーションであって、該指示ステーションから該研磨ステーシ
    ョンへと運搬されたウエハを研磨するための、研磨ステーション;および (5) 洗浄ステーションであって、該指示ステーションから運搬された研磨
    済みウエハを受容する、洗浄ステーション; ここで、該装填/取出ステーションおよび該指示ステーションの各々は、該ス
    テーションへのおよびそこからのウエハのロボット輸送を促進するために、該ロ
    ボットの該エンドエフェクターの到達範囲内にある、処理機。
  63. 【請求項63】 処理すべきウエハのカセットを受容するための装填/取出
    ステーションであって、該装填/取出ステーションは、以下を包含する: 複数のプラットホームであって、該プラットホームは、その上に、該カセット
    を支持するための傾斜基部を有し、該ウエハは、該カセットの後位置に戻るため
    に滑ることを確実にし、該傾斜基部は、5〜18度の範囲の傾斜角を有し、該角
    度は、エレベーターアセンブリ、サーボアセンブリ、ステッパーモーターおよび
    トルクモーターアセンブリからなる群から選択される機構の使用により、調整可
    能である; 内部ドアおよび外部ドアであって、該内部ドアは、該ステーションを外部環境
    から隔離するために、該ステーションの内側に位置しており、そして該外部ドア
    は、該カセットの該プラットホーム上への装填を可能にするために、該ステーシ
    ョンの外側に位置しており、そして該内部ドアは、該外部ドアが開き得る前に、
    閉じるように配置されており、そして該外部ドアは、制御ロッキング機構を包含
    し、該制御ロッキング機構は、該内部ドアが開いている間、該外部ドアの開放を
    防止するためにある。
  64. 【請求項64】 前記プラットホームが、さらに、カセット位置センサおよ
    び外部ドアセンサを包含し、該カセット位置センサが、前記カセットが前記プラ
    ットホーム上で正しく配置されているかどうかをモニターするためにあり、そし
    て該外部ドアセンサが、前記外部ドアが開いているかまたは閉じているかを決定
    するためにある、請求項63に記載のステーション。
  65. 【請求項65】 前記プラットホームが、カセットの外形に適合するように
    、配置されており、該外形が、6インチウエハカセット、8インチウエハカセッ
    ト、300ミリメートルウエハカセット、およびStandard Machi
    ne Interfaceウエハポッドからなる群から選択される、請求項64
    に記載のステーション。
  66. 【請求項66】 さらに、前記ステーションでの静電気の蓄積を最小にする
    ためのイオン化剤、およびHEPAフィルターを包含し、該HEPAフィルター
    が、前記外部ドアを開いたとき、空中粒子を該プラットホームから締め出すため
    に、正の空気層流を供給するように、前記ステーションの上部に取り付けられて
    いる、請求項65に記載のステーション。
  67. 【請求項67】 半導体ウエハを保持するためのカセットと共に使用するた
    めのマッピングシステムであって、該システムは、該カセット内のウエハの位置
    および場所に対応する視覚データを得、そして以下を包含する: 光学走査装置であって、該光学走査装置は、該視覚データを生じるために、該
    カセットに近接して取り付けられている; 後方点灯システムであって、該後方点灯システムは、該カセットを照明するよ
    うに、配置されている;および プロセッサであって、該プロセッサは、該視覚データを該光学走査装置から受
    容しかつ該光学データをウエハ位置データへと変換するように、配置されている
  68. 【請求項68】 前記光学走査装置が、ビデオカメラである、請求項67に
    記載のマッピングシステム。
  69. 【請求項69】 前記ウエハ位置データが、32ビットを包含し、該ビット
    の30ビットは、前記各ウエハのカセットおよびスロット情報を規定し、該ビッ
    トの1ビットは、ウエハが2個のカセットスロット間でクロススロットしている
    ことを示すための第一エラービットを規定し、そして該ビットの1ビットは、1
    個のカセットスロットが複数のウエハを含んでいることを示すための第二エラー
    ビットを規定する、請求項68に記載のマッピングシステム。
  70. 【請求項70】 半導体ウエハを洗浄し、リンスしそして乾燥するための研
    磨機械と一体化した洗浄ステーションであって、該洗浄ステーションは、以下を
    包含する:該ウエハを洗浄するための少なくとも1個のスクラブステーションで
    あって、該スクラブステーションは、複数のローラー対を包含する;該ウエハを
    リンスするためのリンスステーション;該ウエハを乾燥するための乾燥ステーシ
    ョン;および複数のウエハ運搬装置であって、該ウエハ運搬装置は、該少なくと
    も1個のスクラブステーション、該リンスステーションおよび該乾燥ステーショ
    ンと相互連絡している。
  71. 【請求項71】 前記洗浄ステーションが、第一スクラブステーションおよ
    び第二スクラブステーションを包含し、該第一スクラブステーションが、入口お
    よび出口を有し、そして該第二スクラブステーションが、入口および出口を有し
    、そして前記ウエハ運搬装置が、以下を包含する、請求項70に記載の洗浄ステ
    ーション: 第一水トラックであって、該第一水トラックは、最初に、前記ウエハを受容し
    、そして該ウエハを、該第一スクラブステーション入口へと推進する; 第二水トラックであって、該第二水トラックは、該第一スクラブステーション
    出口から該ウエハを受容し、そして該ウエハを、該第二スクラブステーション入
    口へと推進する;および 第三水トラックであって、該第三水トラックは、該第二スクラブステーション
    出口から該ウエハを受容し、そして該ウエハを、前記リンスステーションへと推
    進する。
  72. 【請求項72】 前記ウエハ運搬装置が、さらに、ロボットを包含し、該ロ
    ボットが、前記リンスステーションから前記ウエハを回収するため、および該ウ
    エハを前記乾燥ステーションへと運搬するためにある、請求項71に記載の洗浄
    ステーション。
  73. 【請求項73】 前記第一水トラックが、以下を包含する、請求項72に記
    載の洗浄ステーション: ステージングピンであって、該ステージングピンは、前記ウエハを前記第一水
    トラックで保持するために、高い位置へと垂直移動可能であり、また、該ウエハ
    を前記第一スクラブステーションへと通すことができるように、低い位置へと垂
    直移動可能である; 逆進流体ジェットであって、該逆進流体ジェットは、該ステージングピンを高
    い位置にしたとき、流体を逆方向に排出して、該ウエハが該高くしたステージン
    グピンと接触するのを防止するように作動する。
  74. 【請求項74】 前記第二水トラックが、以下を包含する、請求項73に記
    載の洗浄ステーション: 第一部分であって、該第一部分は、前記第一スクラブステーション出口に接続
    されている; ステージング領域であって、該ステージング領域は、前記ウエハが該第一部分
    へと戻って浮遊することを防止するために、該第一部分に対して、僅かに低い高
    さで接続されており、該ステージング領域は、ステージングピンおよび逆進流体
    ジェットを包含し、該ステージングピンは、該ウエハを該ステージング領域で保
    持するために、高い位置へと垂直移動可能であり、また、該ウエハを前記第二ス
    クラブステーションへと通すことができるように、低い位置へと垂直移動可能で
    あり、該逆進流体ジェットは、該ステージングピンを高い位置にしたとき、流体
    を逆方向に排出して、該ウエハが該高くしたステージングピンと接触するのを防
    止するように作動可能である。
  75. 【請求項75】 前記第三水トラックが、第一ステージング領域、移行部お
    よび第二ステージング領域を包含する、請求項74に記載の洗浄ステーションで
    あって、ここで: 該第一ステージング領域は、前記第二スクラブステーション出口と接続されて
    おり、そして第一ステージングピン、第二ステージングピン、および2組の逆進
    流体ジェットを包含し、該第一ステージングピンは、該第一ステージング領域と
    該移行部との間に配置されており、そして該ウエハを該第一ステージング領域で
    保持するために、高い位置へと垂直移動可能であり、また、該ウエハを該移行部
    へと通すことができるように、低い位置へと垂直移動可能であり、該第二ステー
    ジングピンは、該第二スクラブステーションと該第一ステージングピンとの間に
    配置されており、そして該ウエハが該第二スクラブステーションへと戻って浮遊
    することを防止するために、高い位置へと垂直移動可能であり、また、該ウエハ
    を該第二スクラブステーション出口から該第一ステージング領域へと通すことが
    できるように、低い位置へと垂直移動可能であり、そして該2組の逆進流体ジェ
    ットは、該ステージングピンを高い位置にしたとき、流体を逆方向に排出して、
    該ウエハが該ステージングピンと接触するのを防止するように作動可能である; 該移行部は、該第一ステージング領域と接続されており、そして該ウエハを該
    第一ステージング領域から該第二ステージング領域へと促進するために、複数の
    流体ジェットを包含する;および 該第二ステージング領域は、該移行部と接続されており、そしてステージング
    ピンおよび逆進流体ジェットを包含し、該ステージングピンは、該ウエハを該第
    二ステージング領域で保持するために、高い位置へと垂直移動可能であり、また
    、該ウエハを前記リンスステーションへと通すことができるように、低い位置へ
    と垂直移動可能であり、また、該逆進流体ジェットは、該ステージングピンを高
    い位置にしたとき、流体を逆方向に排出して、該ウエハが該ステージングピンと
    接触するのを防止する。
  76. 【請求項76】 半導体ウエハを洗浄するためのスクラバーボックスであっ
    て、該スクラバーボックスは、以下を包含する: 複数のローラー対であって、該ローラー対は、該ボックスの内側に取り付けら
    れている; 入力開口部および出力開口部であって、該入力開口部は、該ウエハを受容する
    ためにあり、そして該出力開口部は、該ウエハを排出するためにある; 頂部パネルであって、該頂部パネルは、少なくとも1個の流体入口ポートおよ
    びマニホルドを有し、該流体入口ポートおよび該マニホルドは、特定のローラー
    に流体を送達するように、配置されている;および 底部パネルであって、該底部パネルは、流体出口を有し、該流体は、該流体出
    口を通って、該ボックスから流出し得る。
  77. 【請求項77】 前記ローラー対が、交互駆動ローラー対およびスクラビン
    グローラー対を包含し、該駆動ローラー対が、第一駆動速度S1で駆動される頂
    部および底部ローラーを包含し、そして該スクラビングローラー対が、第二駆動
    速度S2で駆動される底部ローラーおよび第三駆動速度S3で駆動される頂部ロ
    ーラーを包含する、請求項76に記載のスクラバーボックス。
  78. 【請求項78】 前記頂部パネルが、第一流体入口ポート、第二流体入口ポ
    ートおよび第三流体入口ポートを包含し、該第一流体入口ポートが、第一群のロ
    ーラー対と近接して第一流体を分配するように、第一マニホルドと連絡しており
    、該第二流体入口ポートが、第二群のローラー対と近接して第二流体を分配する
    ように、第二マニホルドと連絡しており、そして該第三流体入口ポートが、第三
    群のローラー対と近接して第三流体を分配するためにある、請求項77に記載の
    スクラバーボックス。
  79. 【請求項79】 前記マニホルドのそれぞれが、複数の伸長分配チャンネル
    を包含し、該伸長分配チャンネルが、他のマニホルドと関連した他のチャンネル
    と異なり、そして前記頂部パネルが、一体型で実質的に継ぎ目のない部品であり
    、該部品が、前記流体が該チャンネルから漏れることなしに、該チャンネルの加
    圧を可能にする、請求項78に記載のスクラバーボックス。
  80. 【請求項80】 半導体ウエハをリンスするためのリンスステーションであ
    って、該リンスステーションは、以下を包含する: 該ウエハを保持するためのリンスリングであって、該リングは、ガイドおよび
    中心ピンを包含し、該ガイドおよび中心ピンは、水トラックから該リンスステー
    ションに入るウエハを正しく配置するために、該リングの周囲の回りに配置され
    ており、該リングは、該ウエハを覆うリンス流体の層流を促進するために、およ
    そ10〜50度の角度で、下方へ傾けられている; 第一流体ノズルであって、該第一流体ノズルは、該リンス流体を、該ウエハの
    上面上へと排出する; 第二流体ノズルであって、該第二流体ノズルは、該リンス流体を、該ウエハの
    下面上へと排出する。
  81. 【請求項81】 一体化機械を用いて半導体ウエハを処理する方法であって
    、該一体化機械は、装填/取出ステーション、回転可能指示テーブル、研磨ステ
    ーション、洗浄ステーション、リンスステーションおよび乾燥ステーションを有
    し、該方法は、以下の工程を包含する; (a) 複数の該ウエハを含むウエハホルダーを、該装填/取出ステーション
    に装填すること; (b) 該ウエハホルダーを、外部環境から隔離すること; (c) 該ウエハホルダー内での該ウエハの位置および場所に対応する視覚デ
    ータを得ること; (d) 第一ウエハを、該ホルダー内の第一位置から、該回転可能指示テーブ
    ルの装填カップへと運搬すること; (e) 該第一ウエハを、該装填カップから、研磨ステーションへと運搬する
    こと; (f) 該第一ウエハを研磨すること; (g) 該第一ウエハを、該研磨ステーションから、該指示テーブルの取出カ
    ップへと運搬すること; (h) 該第一ウエハを、該取出カップから、該機械の洗浄ステーションの第
    一水トラックへと運搬すること; (i) 該第一ウエハを、該第一水トラックに沿って、第一スクラバーボック
    スへと推進すること; (j) 第一ウエハを、該第一スクラバーボックス内で洗浄し、そして該第一
    ウエハを、該第一ボックスを通って、第二水トラックへと移動させること; (k) 該第一ウエハを、該第二水トラックに沿って、第二スクラバーボック
    スへと推進すること; (l) 第一ウエハを、該第二スクラバーボックス内で洗浄し、そして該第一
    ウエハを、該第二ボックスを通って、第三水トラックへと移動させること; (m) 該第一ウエハを、該第三水トラックに沿って、リンスステーションへ
    と推進すること; (n) 該第一ウエハをリンスすること; (o) 該第一ウエハを、該リンスステーションから、乾燥ステーションへと
    運搬すること; (p) 該第一ウエハを乾燥すること;および (q) 該第一ウエハを、該乾燥ステーションから、該ウエハホルダの該第一
    位置へと戻すこと。
  82. 【請求項82】 前記装填工程(a)が、前記装填/取出ステーションの外
    部ドアを開いて、オペレータに該ステーションのアクセスを提供すること、前記
    ホルダーを傾斜可能プラットホーム上に置くこと、および該外部ドアを閉じるこ
    とを包含する、請求項81に記載の方法。
  83. 【請求項83】 前記隔離工程(b)が、前記外部ドアが開いているかどう
    かをモニターすること、および該外部ドアが開いている場合、前記ステーション
    の内部ドアをロックすることを包含する、請求項81に記載の方法。
  84. 【請求項84】 前記隔離工程(b)が、さらに、前記装填/取出ステーシ
    ョンをイオン化して、静電気の蓄積を最小にすること、および該装填/取出ステ
    ーションを通る正の空気層流を供給して、該ステーションから空気中浮遊粒子を
    排除することを包含する、請求項83に記載の方法。
  85. 【請求項85】 請求項81に記載の方法であって、工程(b)の後で工程
    (d)の前に行われる以下の追加工程を包含する、方法:前記ホルダーが正しく
    配置されているかどうかをモニターすること、および該ホルダーが正しく配置さ
    れていない場合、エラー信号を発生させること。
  86. 【請求項86】 前記視覚データを得る工程(c)が、前記ホルダーを後方
    点灯して、該視覚データをさらに効果的に得ること、該視覚データをウエハ位置
    データへと変換するプロセッサへと、該視覚データを伝達すること、該ウエハ位
    置データを分析して、該ウエハのいずれがクロススロットまたはダブルスロット
    されているかを決定すること、および該ウエハのいずれかがクロススロットまた
    はダブルスロットされている場合、エラー信号を発生させることを包含する、請
    求項81に記載の方法。
  87. 【請求項87】 前記運搬工程(d)が、ロボットのドライエンドエフェク
    ターを用いて行われ、そして前記内部ドアを開けること、前記第一ウエハを前記
    第一位置から回収すること、該ドライエンドエフェクターを介して真空圧を供給
    して、該ウエハを該ドライエンドエフェクター上に保持すること、および該第一
    ウエハを、該ドライエンドエフェクターを用いて前記装填カップへと運搬するこ
    とを包含する、請求項81に記載の方法。
  88. 【請求項88】 前記運搬工程(e)が、前記装填カップ上で、ウエハキャ
    リヤー運搬アセンブリの個々のウエハキャリヤー要素を整列させること、該キャ
    リヤー要素を低くして前記第一ウエハを該装填カップから回収すること、該キャ
    リヤー要素を高くして該輸送アセンブリを移動させ、該キャリヤー要素を、前記
    研磨ステーションの研磨面上に配置することを包含する、請求項81に記載の方
    法。
  89. 【請求項89】 前記研磨工程(f)が、前記キャリヤー要素を低くして、
    前記第一ウエハを前記研磨面に押し付けること、および該キャリヤー要素をその
    垂直軸の周りに回転させることにより、該研磨面および該第一ウエハを相対移動
    させること、および該第一ウエハが該研磨面を横切って移動されるように、該キ
    ャリヤー要素を振動すること、および該研磨面をその垂直軸の周りに回転させる
    ことを包含する、請求項88に記載の方法。
  90. 【請求項90】 前記輸送工程(g)が、前記キャリヤー要素を前記研磨面
    から持ち上げること、および前記輸送アセンブリを移動させて、該キャリヤー要
    素を、前記指示テーブルの取出カップ上で整列させること、および該キャリヤー
    要素を低くして、前記第一ウエハを前記取出カップに入れることを包含する、請
    求項89に記載の方法。
  91. 【請求項91】 請求項81に記載の方法であって、さらに、工程(h)〜
    (q)の各々の前に行われる以下の追加工程を包含する、方法:第一ウエハを次
    の位置に移動する前に、該第一ウエハが移動される該次の位置に他のウエハが存
    在しないことを確認すること。
  92. 【請求項92】 前記第一ウエハを、前記第一、第二および第三水トラック
    に沿って推進する前記工程(i)、(k)および(m)が、さらに、該第一ウエ
    ハを、前方流体ジェットを用いて、該トラックに沿って推進すること;およびス
    テージングピンを上げて、該第一ウエハが移動する次の位置に、他のウエハが存
    在しないことを確認するまで、該第一ウエハの通過を阻止することを包含する、
    請求項91に記載の方法。
  93. 【請求項93】 前記工程(i)、(k)および(m)が、さらに、該第一
    ウエハが移動する次の位置に他のウエハが存在しないことを確認するまで、逆進
    流体ジェットを用いて、前記上げたステージングピンから離れて、前記第一ウエ
    ハを推進することを包含する、請求項92に記載の方法。
  94. 【請求項94】 前記洗浄工程(j)および(l)が、速度S1で駆動ロー
    ラー対を駆動すること、速度S2でスクラビングローラー対の底部ローラーを駆
    動すること、および速度S3で該スクラビングローラー対の頂部ローラーを駆動
    することを包含する、請求項81に記載の方法。
  95. 【請求項95】 前記洗浄工程(j)および(l)が、さらに、前記駆動ロ
    ーラー対および前記スクラビングローラー対に、流体を直接適用することを包含
    する、請求項94に記載の方法。
  96. 【請求項96】 前記リンス工程(n)が、前記第一ウエハを、リンスリン
    グ中にて、およそ30度の角度で傾けること、該第一ウエハの頂面および底面に
    、リンス流体を適用すること、および該リンスリングを水平に戻すことを包含す
    る、請求項81に記載の方法。
  97. 【請求項97】 前記リンス流体が、およそ4〜5リットル/分の速度で、
    前記頂面に適用され、そしておよそ1.5リットル/分の速度で、前記底面に適
    用される、請求項96に記載の方法。
  98. 【請求項98】 前記運搬工程(o)および(q)が、前記ロボットのウェ
    ットエンドエフェクターを用いて、行われる、請求項87に記載の方法。
  99. 【請求項99】 前記乾燥工程(p)が、前記第一ウエハを、回転プラット
    ホーム上で固定すること、および該プラットホームを、およそ20秒間にわたっ
    て、およそ4000回転/分の速度で回転させることを包含する、請求項81に
    記載の方法。
  100. 【請求項100】 以下を有する一体化機械:ワークピースホルダーへおよ
    びそこからワークピースを装填および取り出すための第一ステーション、該ワー
    クピースを研磨するための第二ステーション、該ワークピースを洗浄、リンスお
    よび乾燥するための第三ステーション、および該第一、第二および第三ステーシ
    ョン間で該ワークピースを運搬するための運搬手段。
  101. 【請求項101】 前記運搬手段が、ロボットであり、該ロボットが、前記
    ワークピースを取り扱うための複数のウエハ握り装置、および複数の運動軸を有
    する、請求項100に記載の機械。
  102. 【請求項102】 前記ロボットが、6個の軸を有する、請求項101に記
    載の機械。
  103. 【請求項103】 前記ウエハ握り装置が、ドライエンドエフェクターおよ
    びウェットエンドエフェクターを有し、該ドライエンドエフェクターが、乾燥ワ
    ークピースを取り扱うためにあり、そして該ウェットエンドエフェクターが、湿
    潤ワークピースを取り扱うためにある、請求項101に記載の機械。
  104. 【請求項104】 前記エンドエフェクターが、複数の真空穴を包含し、該
    真空穴が、前記ロボットを前記複数の軸の周りに移動しつつ、前記ワークピース
    を前記エンドエフェクター上で固定するために、該ワークピースに真空圧を加え
    るためにある、請求項103に記載の機械。
  105. 【請求項105】 前記エンドエフェクターが、静電放電に耐えるために、
    静電気消散材料で被覆されている、請求項104に記載の機械。
  106. 【請求項106】 前記エンドエフェクターが、静電放電に耐えるために、
    静電気消散材料から製造されている、請求項104に記載の機械。
  107. 【請求項107】 前記第三ステーションが、前記ウエハを、洗浄操作とリ
    ンス操作との間で移動させるためのU形ウエハ経路を包含する、請求項100に
    記載の機械。
  108. 【請求項108】 ワークピースを第一ステーションから第二ステーション
    へと移動させる方法であって、該方法は、以下の工程を包含する: 該ワークピースを、ロボットを用いて、該第一ステーションから回収すること
    ; 該ワークピースを、該ロボットを用いて、ひっくり返すこと;および 該ワークピースを、該ロボットを用いて、該第二ステーション上に置くこと。
  109. 【請求項109】 さらに、以下の工程を包含する、請求項108に記載の
    方法: 前記ワークピースを、前記ロボットを用いて、第三ステーションから回収する
    こと;および 該ワークピースを、該ロボットを用いて、前記第一ステーション上に置くこと
  110. 【請求項110】 前記ロボットが、前記ワークピースを回収し保持するた
    めの少なくとも1個のワークピース握り手段を包含する、請求項109に記載の
    方法。
  111. 【請求項111】 前記少なくとも1個のワークピース握り手段が、乾燥ワ
    ークピースを握るためのドライエンドエフェクターおよび湿潤ワークピースを握
    るためのウェットエンドエフェクターを包含する、請求項110に記載の方法。
  112. 【請求項112】 前記ワークピースが、前記第一ステーションにて、傾斜
    カセットから回収され、そして前記第二ステーションにて、前記ドライエンドエ
    フェクターを用いて、指示テーブルへと運搬される、請求項111に記載の方法
  113. 【請求項113】 前記第三ステーションが、リンスステーションおよび乾
    燥ステーションを包含し、また、前記ワークピースを、前記ウェットエンドエフ
    ェクターを用いて、該リンスステーションから該乾燥ステーションへと運搬する
    追加工程を包含する、請求項112に記載の方法。
  114. 【請求項114】 前記ワークピースが、前記ドライエンドエフェクターを
    用いて、前記乾燥ステーションから回収され、そして前記カセットへと運搬され
    る、請求項113に記載の方法。
  115. 【請求項115】 前記ロボットが、前記傾斜カセット、前記指示テーブル
    、前記リンスステーションおよび前記乾燥ステーションの間で、前記エンドエフ
    ェクターの移動を可能にするように、6個の軸を有する、請求項114に記載の
    方法。
  116. 【請求項116】 以下を包含する、ウエハ取り扱いシステム:六軸ロボッ
    トであって、該六軸ロボットは、操作末端を有する;乾燥ウエハ握り装置であっ
    て、該乾燥ウエハ握り装置は、該ロボット操作末端に装着されている;および湿
    潤ウエハ握り装置であって、該湿潤ウエハ握り装置は、該ロボット操作末端に装
    着されている。
  117. 【請求項117】 前記乾燥ウエハ握り装置が、ドライエンドエフェクター
    であり、そして前記湿潤ウエハ握り装置が、ウェットエンドエフェクターである
    、請求項116に記載のウエハ取り扱いシステム。
  118. 【請求項118】 前記ドライエンドエフェクターおよび前記ウェットエン
    ドエフェクターが、互いに実質的に直交して、配向されている、請求項117に
    記載のウエハ取り扱いシステム。
  119. 【請求項119】 ワークピースを処理する方法であって、該方法は、以下
    の工程を包含する: ワークピースホルダーを提供することであって、該ワークピースホルダーは、
    該ワークピースを保持するための複数のレセプタクルを有する; ワークピース運搬手段を用いて、第一レセプタクルから、第一ワークピースを
    回収することであって、該ワークピース運搬手段は、ロボットのエンドエフェク
    ターを包含する; 該第一ワークピースを研磨し、洗浄し、リンスし、そして乾燥すること;およ
    び 該第一ワークピースを、該ワークピース運搬手段を用いて、該第一レセプタク
    ルに戻すこと。
  120. 【請求項120】 前記ワークピースホルダーが、傾斜カセットであり、そ
    して前記レセプタクルが、該カセット内に形成されたスロットである、請求項1
    19に記載の方法。
  121. 【請求項121】 前記ワークピース移動手段が、六軸ロボットのドライエ
    ンドエフェクターを包含する、請求項119に記載の方法。
  122. 【請求項122】 前記ワークピースを、前記六軸ロボットのウェットエン
    ドエフェクターを用いて、前記リンス工程と前記乾燥工程との間で運搬する追加
    工程を包含する、請求項121に記載の方法。
  123. 【請求項123】 自動化多機能半導体ウエハ処理機であって、該処理機は
    、以下を包含する: (1) ウエハカセット用装填/取出ステーションであって、該装填/取出ス
    テーションは、プラットホームを包含し、各プラットホームは、ウエハを含むカ
    セットを受容するように、また、該カセットを傾斜配向で支持してロボットのエ
    ンドエフェクターが該ウエハにアクセスできるように、そしてウエハが該カセッ
    トから滑り出すリスクを少なくするように、配置されている; (2) ロボットであって、該ロボットは、湿潤ウエハを取り扱うためのエン
    ドエフェクターおよび乾燥ウエハを取り扱うための別個のエンドエフェクターを
    包含する; (3) 指示ステーションであって、該指示ステーションは、(a)該装填/
    取出ステーションの該プラットホーム上のウエハカセットからの未研磨ウエハ、
    および(b)研磨ステーションからの研磨済みウエハを受容するためにある; (4) 研磨ステーションであって、該研磨ステーションは、該指示ステーシ
    ョンから該研磨ステーションへと運搬されたウエハを研磨するためにある;およ
    び (5) 洗浄ステーションであって、該洗浄ステーションは、該指示ステーシ
    ョンからの運搬された研磨済みウエハを受容する; ここで、該装填/取出ステーションおよび該指示ステーションの各々は、該ス
    テーションへのおよびそこからのウエハのロボット輸送を促進するために、該ロ
    ボットの該エンドエフェクターの到達範囲内にある。
  124. 【請求項124】 以下を有する一体化機械:ワークピースホルダーへおよ
    びそこからワークピースを装填および取り出すための第一ステーション、該ワー
    クピースを研磨するための第二ステーション、該ワークピースを洗浄、リンスお
    よび乾燥するための第三ステーション、および該第一、第二および第三ステーシ
    ョン間で該ワークピースを運搬するための運搬手段。
  125. 【請求項125】 前記運搬手段が、ロボットであり、該ロボットが、前記
    ワークピースを取り扱うための複数のウエハ握り装置、および複数の運動軸を有
    する、請求項124に記載の機械。
  126. 【請求項126】 前記ロボットが、6個の軸を有する、請求項125に記
    載の機械。
  127. 【請求項127】 前記ウエハ握り装置が、ドライエンドエフェクターおよ
    びウェットエンドエフェクターを有し、該ドライエンドエフェクターが、該乾燥
    ワークピースを取り扱うためにあり、そして該ウェットエンドエフェクターが、
    湿潤ワークピースを取り扱うためにある、請求項125に記載の機械。
  128. 【請求項128】 前記エンドエフェクターが、複数の真空穴を包含し、該
    真空穴が、前記ロボットを前記複数の軸の周りに移動しつつ、前記ワークピース
    を前記エンドエフェクター上で固定するために、該ワークピースに真空圧を加え
    るためにある、請求項127に記載の機械。
  129. 【請求項129】 前記エンドエフェクターが、静電放電に耐えるために、
    静電気消散材料で被覆されている、請求項128に記載の機械。
  130. 【請求項130】 前記エンドエフェクターが、静電放電に耐えるために、
    静電気消散材料から製造されている、請求項128に記載の機械。
  131. 【請求項131】 前記第三ステーションが、前記ウエハを、洗浄操作とリ
    ンス操作との間で移動させるためのU形ウエハ経路を包含する、請求項124に
    記載の機械。
JP2000511187A 1997-09-10 1998-09-10 組み合わせcmpおよびウエハ洗浄器具および関連方法 Expired - Fee Related JP3417925B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/926,700 1997-09-10
US08/926,700 US6213853B1 (en) 1997-09-10 1997-09-10 Integral machine for polishing, cleaning, rinsing and drying workpieces
PCT/US1998/018897 WO1999013498A2 (en) 1997-09-10 1998-09-10 Combined cmp and wafer cleaning apparatus and associated methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002346451A Division JP2003224097A (ja) 1997-09-10 2002-11-28 組み合わせcmpおよびウエハ洗浄器具および関連方法

Publications (2)

Publication Number Publication Date
JP2001516152A true JP2001516152A (ja) 2001-09-25
JP3417925B2 JP3417925B2 (ja) 2003-06-16

Family

ID=25453584

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2000511187A Expired - Fee Related JP3417925B2 (ja) 1997-09-10 1998-09-10 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP2002346451A Withdrawn JP2003224097A (ja) 1997-09-10 2002-11-28 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP2005160560A Withdrawn JP2005322936A (ja) 1997-09-10 2005-05-31 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP2006122733A Withdrawn JP2006216988A (ja) 1997-09-10 2006-04-26 組み合わせcmpおよびウエハ洗浄器具および関連方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2002346451A Withdrawn JP2003224097A (ja) 1997-09-10 2002-11-28 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP2005160560A Withdrawn JP2005322936A (ja) 1997-09-10 2005-05-31 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP2006122733A Withdrawn JP2006216988A (ja) 1997-09-10 2006-04-26 組み合わせcmpおよびウエハ洗浄器具および関連方法

Country Status (6)

Country Link
US (7) US6213853B1 (ja)
EP (1) EP1012876A2 (ja)
JP (4) JP3417925B2 (ja)
KR (1) KR20010023908A (ja)
TW (1) TW432446B (ja)
WO (1) WO1999013498A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6795202B2 (en) 2002-11-15 2004-09-21 Tdk Corporation Wafer processing apparatus having wafer mapping function
US7379174B2 (en) 2004-10-26 2008-05-27 Tdk Corporation Wafer detecting device
JP2012199558A (ja) * 2004-07-02 2012-10-18 Strasbaugh ウエハ処理方法およびシステム

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5885138A (en) * 1993-09-21 1999-03-23 Ebara Corporation Method and apparatus for dry-in, dry-out polishing and washing of a semiconductor device
US6004828A (en) * 1997-09-30 1999-12-21 Semitool, Inc, Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
JP3615931B2 (ja) * 1998-03-26 2005-02-02 株式会社荏原製作所 ポリッシング装置および該ポリッシング装置におけるコンディショニング方法
WO2000023230A1 (en) * 1998-10-19 2000-04-27 Speedfam-Ipec Corporation Catastrophic error recovery apparatus and associated methods
US20010047810A1 (en) * 1999-06-29 2001-12-06 Jeff Farber High rpm megasonic cleaning
KR100510066B1 (ko) * 1999-06-30 2005-08-26 주식회사 하이닉스반도체 반도체 생산라인의 스토커 오류 감시 방법
WO2001012384A2 (en) * 1999-08-12 2001-02-22 Speedfam-Ipec Corporation Apparatus for moving a workpiece
US6537416B1 (en) * 1999-10-01 2003-03-25 Novellus Systems, Inc. Wafer chuck for use in edge bevel removal of copper from silicon wafers
US7780867B1 (en) * 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6636626B1 (en) * 1999-11-30 2003-10-21 Wafermasters, Inc. Wafer mapping apparatus and method
WO2001064391A2 (en) * 2000-02-29 2001-09-07 Applied Materials, Inc. Planarization system with a wafer transfer corridor and multiple polishing modules
US6662070B1 (en) * 2000-03-08 2003-12-09 Advanced Micro Devices, Inc. Wafer rotation randomization in cluster tool processing
JP3510177B2 (ja) * 2000-03-23 2004-03-22 株式会社東京精密 ウェハ研磨装置
JP3556148B2 (ja) * 2000-03-23 2004-08-18 株式会社東京精密 ウェハ研磨装置
US6435941B1 (en) * 2000-05-12 2002-08-20 Appllied Materials, Inc. Apparatus and method for chemical mechanical planarization
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US6634930B1 (en) * 2000-08-09 2003-10-21 Taiwan Semiconductor Manufacturing Co. Ltd Method and apparatus for preventing metal corrosion during chemical mechanical polishing
US6460414B1 (en) * 2000-11-17 2002-10-08 Sonoscan, Inc. Automated acoustic micro imaging system and method
KR20020053584A (ko) * 2000-12-27 2002-07-05 한효용 Saw 시스템의 린스 장치
WO2002067481A1 (en) * 2001-02-20 2002-08-29 University Of Maryland, Baltimore County Widely tunable and integrated optical system and method
US6748961B2 (en) * 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
US6530736B2 (en) * 2001-07-13 2003-03-11 Asyst Technologies, Inc. SMIF load port interface including smart port door
US7121919B2 (en) 2001-08-30 2006-10-17 Micron Technology, Inc. Chemical mechanical polishing system and process
US6586336B2 (en) 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
US6638145B2 (en) * 2001-08-31 2003-10-28 Koninklijke Philips Electronics N.V. Constant pH polish and scrub
US20030098069A1 (en) * 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003209075A (ja) * 2002-01-15 2003-07-25 Speedfam Co Ltd ウェハエッジ研磨システム及びウェハエッジ研磨制御方法
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
US6831436B2 (en) 2002-04-22 2004-12-14 Jose Raul Gonzalez Modular hybrid multi-axis robot
US6875076B2 (en) 2002-06-17 2005-04-05 Accretech Usa, Inc. Polishing machine and method
US7131333B2 (en) * 2002-07-16 2006-11-07 Sonix, Inc. Pulse echo ultrasonic test chamber for tray production system
US7181969B2 (en) * 2002-07-16 2007-02-27 Sonix, Inc. Ultrasonic test chamber for tray production system and the like
FR2842755B1 (fr) * 2002-07-23 2005-02-18 Soitec Silicon On Insulator Rincage au moyen d'une solution de tensioactif apres planarisation mecano-chimique d'une tranche
US6804579B1 (en) * 2002-10-16 2004-10-12 Abb, Inc. Robotic wash cell using recycled pure water
US6916233B2 (en) * 2002-11-28 2005-07-12 Tsc Corporation Polishing and cleaning compound device
US7103482B2 (en) * 2003-02-03 2006-09-05 Qcept Technologies, Inc. Inspection system and apparatus
US7107158B2 (en) * 2003-02-03 2006-09-12 Qcept Technologies, Inc. Inspection system and apparatus
US7308367B2 (en) * 2003-02-03 2007-12-11 Qcept Technologies, Inc. Wafer inspection system
US6957154B2 (en) * 2003-02-03 2005-10-18 Qcept Technologies, Inc. Semiconductor wafer inspection system
US7013732B2 (en) * 2003-02-19 2006-03-21 Sonix, Inc. Method and apparatus for temperature-controlled ultrasonic inspection
US20040221871A1 (en) * 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
DE10329868A1 (de) * 2003-07-02 2005-01-20 Dynamic Microsystems Semiconductor Equipment Gmbh Lagersystem für Wafer
JP2007507078A (ja) * 2003-07-09 2007-03-22 レナ ゾンダーマシーネン ゲーエムベーハー Cmpプロセスを用いたウエハ清浄装置
US7152476B2 (en) * 2003-07-25 2006-12-26 Qcept Technologies, Inc. Measurement of motions of rotating shafts using non-vibrating contact potential difference sensor
US20050069399A1 (en) * 2003-08-12 2005-03-31 Chih-Ming Hsieh Apparatus and method for dry-loading of substrates in scrubber cleaner
US20050061775A1 (en) * 2003-09-19 2005-03-24 Kuo-Tang Hsu Novel design to eliminate wafer sticking
KR100621620B1 (ko) * 2003-12-03 2006-09-13 삼성전자주식회사 웨이퍼 이송 기구 및 이를 포함하는 폴리싱 장치
KR100808798B1 (ko) * 2003-12-31 2008-02-29 동부일렉트로닉스 주식회사 복합 습식 세정공정장치
US7661315B2 (en) * 2004-05-24 2010-02-16 Sonix, Inc. Method and apparatus for ultrasonic scanning of a fabrication wafer
US7182673B2 (en) * 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US7229339B2 (en) * 2004-07-02 2007-06-12 Novellus Systems, Inc. CMP apparatus and method
KR100820560B1 (ko) 2004-12-03 2008-04-07 동부일렉트로닉스 주식회사 씨엠피 장비 및 그 안정화 방법
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
EP2145573B1 (en) 2005-02-18 2011-09-07 iRobot Corporation Autonomous surface cleaning robot for wet and dry cleaning
JP2007005582A (ja) * 2005-06-24 2007-01-11 Asm Japan Kk 基板搬送装置及びそれを搭載した半導体基板製造装置
US7925378B2 (en) * 2005-07-11 2011-04-12 Brooks Automation, Inc. Process apparatus with on-the-fly workpiece centering
US7172496B1 (en) * 2005-08-17 2007-02-06 Agere Systems, Inc. Method and apparatus for cleaning slurry depositions from a water carrier
US7549204B1 (en) * 2005-11-30 2009-06-23 Western Digital Technologies, Inc. Methods for picking and placing workpieces into small form factor hard disk drives
US8054752B2 (en) * 2005-12-22 2011-11-08 Intuitive Surgical Operations, Inc. Synchronous data communication
US20070281589A1 (en) * 2006-06-02 2007-12-06 Applied Materials, Inc. Rotational alignment mechanism for load cups
US8100081B1 (en) 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US7917317B2 (en) * 2006-07-07 2011-03-29 Sonix, Inc. Ultrasonic inspection using acoustic modeling
US7690881B2 (en) * 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
KR100790817B1 (ko) * 2006-12-06 2008-01-03 삼성전자주식회사 반도체 제조관리 시스템
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7659734B2 (en) * 2007-03-07 2010-02-09 Qcept Technologies, Inc. Semiconductor inspection system and apparatus utilizing a non-vibrating contact potential difference sensor and controlled illumination
US7811153B1 (en) * 2007-03-30 2010-10-12 Novellus Systems, Inc. High throughput servo load cup with integrated wet chemistry delivery
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7909677B2 (en) * 2007-05-14 2011-03-22 United Microelectronics Corp. Method of transferring a wafer
US7794194B2 (en) 2007-09-14 2010-09-14 Seagate Technology Llc Pick and place work piece flipper
US7900526B2 (en) * 2007-11-30 2011-03-08 Qcept Technologies, Inc. Defect classification utilizing data from a non-vibrating contact potential difference sensor
MY144526A (en) * 2008-04-18 2011-09-30 Khoo Hun Sniah Semiconductor die sorter for wafer level packaging
KR20110018323A (ko) * 2008-04-25 2011-02-23 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량 화학 기계 연마 시스템
US7752000B2 (en) * 2008-05-02 2010-07-06 Qcept Technologies, Inc. Calibration of non-vibrating contact potential difference measurements to detect surface variations that are perpendicular to the direction of sensor motion
CN103839857B (zh) * 2008-06-04 2017-09-19 株式会社荏原制作所 基板处理装置及方法、基板把持机构以及基板把持方法
US8795032B2 (en) * 2008-06-04 2014-08-05 Ebara Corporation Substrate processing apparatus, substrate processing method, substrate holding mechanism, and substrate holding method
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8180487B1 (en) 2008-09-30 2012-05-15 Western Digital Technologies, Inc. Calibrated vision based robotic system
DE102008055889A1 (de) 2008-11-05 2010-01-14 Siltronic Ag Verfahren und Vorrichtung zur nasschemischen Behandlung einer Halbleiterscheibe
US8135208B1 (en) 2009-01-15 2012-03-13 Western Digital Technologies, Inc. Calibrated vision based robotic system utilizing upward and downward looking cameras
US8172646B2 (en) * 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US8746270B2 (en) * 2010-02-10 2014-06-10 Brg Industries Incorporated Precision low flow rate fluid delivery system and methods for controlling same
CN102049730B (zh) * 2010-12-29 2012-02-15 清华大学 一种用于化学机械抛光设备的晶圆交换装置
US9449862B2 (en) 2011-06-03 2016-09-20 Tel Nexx, Inc. Parallel single substrate processing system
TWI456684B (zh) * 2011-06-29 2014-10-11 Grand Plastic Technology Co Ltd 濕製程設備晶圓夾自動進出旋乾機之裝置
WO2013052520A1 (en) * 2011-10-03 2013-04-11 Denton Vacuum, L.L.C. Semiconductor wafer treatment system
US20130115862A1 (en) * 2011-11-09 2013-05-09 Applied Materials, Inc. Chemical mechanical polishing platform architecture
US9013176B2 (en) 2012-01-27 2015-04-21 Applied Materials, Inc. Methods and apparatus for sensing a substrate in a load cup
US20130199405A1 (en) * 2012-02-08 2013-08-08 Applied Materials, Inc. Circular track actuator system
JP6133120B2 (ja) 2012-05-17 2017-05-24 株式会社荏原製作所 基板洗浄装置
US20140080229A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Adaptive semiconductor processing using feedback from measurement devices
US9282867B2 (en) 2012-12-28 2016-03-15 Irobot Corporation Autonomous coverage robot
US9483055B2 (en) 2012-12-28 2016-11-01 Irobot Corporation Autonomous coverage robot
JP2015138856A (ja) * 2014-01-22 2015-07-30 株式会社ディスコ 切削装置
JP2015201598A (ja) * 2014-04-10 2015-11-12 株式会社荏原製作所 基板処理装置
JP6339909B2 (ja) * 2014-09-17 2018-06-06 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10444129B2 (en) * 2015-02-04 2019-10-15 Ats Automation Tooling Systems Inc. System and method for testing or calibrating a manufactured part in a wet environment
WO2016181466A1 (ja) * 2015-05-11 2016-11-17 株式会社安川電機 分注システム、コントローラ及び制御方法
JP6367763B2 (ja) 2015-06-22 2018-08-01 株式会社荏原製作所 ウェーハ乾燥装置およびウェーハ乾燥方法
KR102440321B1 (ko) * 2015-09-04 2022-09-06 삼성전자주식회사 기판 처리 방법
CN106541329B (zh) * 2015-09-16 2019-01-01 泰科电子(上海)有限公司 集成设备
JP6745673B2 (ja) * 2016-08-05 2020-08-26 東京エレクトロン株式会社 半導体システム
TW201812893A (zh) * 2016-08-26 2018-04-01 美商應用材料股份有限公司 具有以機器人存取卡匣的化學機械研磨工具
US10357861B2 (en) 2016-11-28 2019-07-23 Baker Hughes, A Ge Company, Llc Magnetic sample holder for abrasive operations and related methods
CN108466118B (zh) * 2018-03-14 2019-11-05 郑州工程技术学院 一种基于物联网的数控加工管理系统和方法
CN109230533B (zh) * 2018-09-03 2024-03-19 郑州福耀玻璃有限公司 一种汽车玻璃不间断烘弯线
CN109822419A (zh) * 2019-03-04 2019-05-31 天通日进精密技术有限公司 晶圆转移装置及晶圆转移方法
CN110767587B (zh) * 2019-10-21 2022-04-01 西安奕斯伟材料科技有限公司 一种晶圆处理装置和上下料方法
CN113035751B (zh) * 2021-03-02 2022-08-19 桂林雷光科技有限公司 一种去应力腐蚀机的芯片旋转装置及其设备
US20220310424A1 (en) * 2021-03-25 2022-09-29 Applied Materials, Inc. Automated dry-in dry-out dual side polishing of silicon substrates with integrated spin rinse dry and metrology

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3939514A (en) 1974-11-11 1976-02-24 Kayex Corporation Apparatus for cleaning thin, fragile wafers of a material
US4141180A (en) 1977-09-21 1979-02-27 Kayex Corporation Polishing apparatus
US4313266A (en) 1980-05-01 1982-02-02 The Silicon Valley Group, Inc. Method and apparatus for drying wafers
US4680893A (en) 1985-09-23 1987-07-21 Motorola, Inc. Apparatus for polishing semiconductor wafers
US4653231A (en) 1985-11-01 1987-03-31 Motorola, Inc. Polishing system with underwater Bernoulli pickup
US4811522A (en) 1987-03-23 1989-03-14 Gill Jr Gerald L Counterbalanced polishing apparatus
US4851101A (en) 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
JPH02250324A (ja) 1989-03-23 1990-10-08 Hitachi Ltd 半導体装置の製造方法およびそれに使用される洗浄装置
US5357645A (en) 1989-04-09 1994-10-25 System Seiko Co., Ltd. Apparatus for cleaning and drying hard disk substrates
JP2683940B2 (ja) 1989-08-09 1997-12-03 信越半導体 株式会社 ワークの自動洗浄装置
JPH0411728A (ja) * 1990-04-30 1992-01-16 Seiichiro Sogo 半導体ウェハの洗浄装置
US5203360A (en) 1990-12-17 1993-04-20 Seagate Technology, Inc. Disc washing system
DE4100526A1 (de) 1991-01-10 1992-07-16 Wacker Chemitronic Vorrichtung und verfahren zum automatischen vereinzeln von gestapelten scheiben
JPH0615565A (ja) 1991-12-18 1994-01-25 Shin Etsu Handotai Co Ltd ウエーハ自動ラッピング装置
US5329732A (en) 1992-06-15 1994-07-19 Speedfam Corporation Wafer polishing method and apparatus
US5498199A (en) 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
JPH0663862A (ja) 1992-08-22 1994-03-08 Fujikoshi Mach Corp 研磨装置
JP2655975B2 (ja) 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
US5442828A (en) 1992-11-30 1995-08-22 Ontrak Systems, Inc. Double-sided wafer scrubber with a wet submersing silicon wafer indexer
US5605428A (en) * 1993-03-05 1997-02-25 Jenoptik Gmbh Device for indexing magazine compartments and wafer-shaped objects in the compartments
JP2513426B2 (ja) 1993-09-20 1996-07-03 日本電気株式会社 ウェ―ハ研磨装置
KR100390293B1 (ko) 1993-09-21 2003-09-02 가부시끼가이샤 도시바 폴리싱장치
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5649854A (en) 1994-05-04 1997-07-22 Gill, Jr.; Gerald L. Polishing apparatus with indexing wafer processing stations
US5605487A (en) 1994-05-13 1997-02-25 Memc Electric Materials, Inc. Semiconductor wafer polishing appartus and method
DE69512971T2 (de) 1994-08-09 2000-05-18 Ontrak Systems Inc Linear Poliergerät und Wafer Planarisierungsverfahren
US5571337A (en) * 1994-11-14 1996-11-05 Yieldup International Method for cleaning and drying a semiconductor wafer
US5655954A (en) 1994-11-29 1997-08-12 Toshiba Kikai Kabushiki Kaisha Polishing apparatus
US5522965A (en) 1994-12-12 1996-06-04 Texas Instruments Incorporated Compact system and method for chemical-mechanical polishing utilizing energy coupled to the polishing pad/water interface
JP3044277B2 (ja) * 1994-12-21 2000-05-22 信越半導体株式会社 ウェーハの洗浄及び洗浄乾燥装置
US5554065A (en) 1995-06-07 1996-09-10 Clover; Richmond B. Vertically stacked planarization machine
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
KR100487590B1 (ko) 1995-08-21 2005-08-04 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
EP0793261B1 (en) 1996-02-28 2005-01-05 Ebara Corporation Robotic transport apparatus having a guard against water
TW363903B (en) 1996-03-11 1999-07-11 Memc Electronic Materials Spa Apparatus for use in automatically cleaning semiconductor wafers and methods for drying a semiconductor wafer in the automatic drying machine
JP3696690B2 (ja) 1996-04-23 2005-09-21 不二越機械工業株式会社 ウェーハの研磨装置システム
US6012966A (en) * 1996-05-10 2000-01-11 Canon Kabushiki Kaisha Precision polishing apparatus with detecting means
US5904611A (en) 1996-05-10 1999-05-18 Canon Kabushiki Kaisha Precision polishing apparatus
EP1281476A3 (en) 1996-05-16 2003-08-13 Ebara Corporation Method for polishing workpieces and apparatus therefor
US5679055A (en) * 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US6221171B1 (en) * 1996-06-04 2001-04-24 Ebara Corporation Method and apparatus for conveying a workpiece
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US5950327A (en) * 1996-07-08 1999-09-14 Speedfam-Ipec Corporation Methods and apparatus for cleaning and drying wafers
US6021380A (en) * 1996-07-09 2000-02-01 Scanis, Inc. Automatic semiconductor wafer sorter/prober with extended optical inspection
KR100202659B1 (ko) 1996-07-09 1999-06-15 구본준 반도체웨이퍼의 기계화학적 연마장치
US6082949A (en) * 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
JPH10242110A (ja) * 1997-03-03 1998-09-11 Hitachi Ltd 回転処理方法および回転処理装置
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US5957764A (en) * 1997-11-05 1999-09-28 Aplex, Inc. Modular wafer polishing apparatus and method
JPH11195687A (ja) * 1997-12-27 1999-07-21 Nippon Seiko Kk 基板搬送装置
JPH11204615A (ja) * 1998-01-19 1999-07-30 Speedfam Co Ltd ローディングロボットのウェーハローディング、アンローディング機構
US5954888A (en) * 1998-02-09 1999-09-21 Speedfam Corporation Post-CMP wet-HF cleaning station
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6795202B2 (en) 2002-11-15 2004-09-21 Tdk Corporation Wafer processing apparatus having wafer mapping function
JP2012199558A (ja) * 2004-07-02 2012-10-18 Strasbaugh ウエハ処理方法およびシステム
US7379174B2 (en) 2004-10-26 2008-05-27 Tdk Corporation Wafer detecting device

Also Published As

Publication number Publication date
US6350177B1 (en) 2002-02-26
JP2003224097A (ja) 2003-08-08
US6390897B1 (en) 2002-05-21
US6852007B1 (en) 2005-02-08
US6520839B1 (en) 2003-02-18
KR20010023908A (ko) 2001-03-26
US6227946B1 (en) 2001-05-08
JP2005322936A (ja) 2005-11-17
WO1999013498A8 (en) 1999-09-23
JP2006216988A (ja) 2006-08-17
EP1012876A2 (en) 2000-06-28
WO1999013498A2 (en) 1999-03-18
US6213853B1 (en) 2001-04-10
JP3417925B2 (ja) 2003-06-16
WO1999013498A3 (en) 1999-06-03
US6364745B1 (en) 2002-04-02
TW432446B (en) 2001-05-01

Similar Documents

Publication Publication Date Title
JP3417925B2 (ja) 組み合わせcmpおよびウエハ洗浄器具および関連方法
JP5188952B2 (ja) 基板処理装置
US7255632B2 (en) Chemical mechanical polishing system having multiple polishing stations and providing relative linear polishing motion
JP4641540B2 (ja) 研磨装置および研磨方法
US6368183B1 (en) Wafer cleaning apparatus and associated wafer processing methods
KR100472959B1 (ko) 언로딩구조가 개선된 반도체 웨이퍼의 표면평탄화설비
US5804507A (en) Radially oscillating carousel processing system for chemical mechanical polishing
KR100451615B1 (ko) 폴리싱장치
KR100552009B1 (ko) 폴리싱 장치
KR100415865B1 (ko) 브러시 장치
KR20110018323A (ko) 높은 처리량 화학 기계 연마 시스템
US6125861A (en) Post-CMP wet-HF cleaning station
US7229339B2 (en) CMP apparatus and method
US7021323B1 (en) Dust-incompatible article transfer container cleaner
JP4271267B2 (ja) 基板処理方法
JP2002016028A (ja) 基板処理装置
JP2023062553A (ja) 交換装置、処理装置、及び交換方法
WO1999053531A2 (en) Post-cmp wet-hf cleaning station
JP2005123648A (ja) 基板処理装置

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020930

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030318

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090411

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090411

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100411

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110411

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120411

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120411

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130411

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130411

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140411

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees