DE69931656T2 - VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT - Google Patents

VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT Download PDF

Info

Publication number
DE69931656T2
DE69931656T2 DE69931656T DE69931656T DE69931656T2 DE 69931656 T2 DE69931656 T2 DE 69931656T2 DE 69931656 T DE69931656 T DE 69931656T DE 69931656 T DE69931656 T DE 69931656T DE 69931656 T2 DE69931656 T2 DE 69931656T2
Authority
DE
Germany
Prior art keywords
deposition
layer
chamber
substrate
teos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69931656T
Other languages
English (en)
Other versions
DE69931656D1 (de
Inventor
Sey-Ping Austin SUN
I. Mark Cedar Creek GARDNER
Van Minh Fremont NGO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE69931656D1 publication Critical patent/DE69931656D1/de
Application granted granted Critical
Publication of DE69931656T2 publication Critical patent/DE69931656T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/2815Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Description

  • Hintergrund der Erfindung
  • 1. Technisches Gebiet
  • Diese Erfindung betrifft die Herstellung integrierter Schaltungen und betrifft insbesondere einen in-situ-Prozess für die Herstellung eines Zwischenschichtdielektrikums.
  • 2. Hintergrund der Erfindung
  • US-A-5 071790 offenbart ein Verfahren, in welchem eine dotierte Glasschicht über einem Polysiliziumgate einer Halbleitertopographie abgeschieden wird. Es wird dann eine Wärmebehandlung bei einer Temperatur von 900 Grad C 60 Minuten lang ausgeführt, nach der eine Wolframsilizidkomponente über der Glasschicht gebildet wird. Es wird anschließend eine Plasmaoxynitridschicht über der Wolframsilizidkomponente abgeschieden, wonach eine Wärmebehandlung bei einer Temperatur von 850 Grad C 30 Minuten lang ausgeführt wird. Es wird dann eine Glaskomponente über der Struktur hergestellt.
  • WO 87/07309 offenbart eine Abscheidevorrichtung mit zwei Kammern, d. h. einer Reaktionskammer und einer Scheibenbevorratungskammer mit Ladeschleuse. Die Reaktionskammer besitzt mehrere Scheibenabscheidestationen.
  • Die Herstellung einer integrierten Schaltung mit Metall-Oxid-Halbleiterkomponenten (MOS) beinhaltet zahlreiche Prozessschritte. Typischerweise wird ein Gateoxid auf einem Halbleitersubstrat hergestellt, das mit n- oder p-Verunreinigungen dotiert ist. Für jeden herzustellenden Transistor wird ein Gateleiter über dem Gateoxid gebildet, und es werden Dotierstoffveranreinigungen in das Substrat eingeführt, um Source- und Draingebiete zu bilden. Es können dielektrische Abstandshalter an den Seitenwänden des Gateleiters hergestellt werden und können dazu dienen, um leicht dotierte Drain- (LDD) Bereiche der Source-Drain-Gebiete zu bilden. Die dielektrischen Abstandshalter können auch das Gate von dem Source/Drain-Gebieten isolieren, so dass ein selbstjustierendes Silizid oder Salizid auf dem Transistor hergestellt werden kann. Ein Salizidprozess wird häufig verwendet, um Kontakte mit geringem Widerstand zu den Transistorsource-, Drain- und Gategebieten herzustellen, indem eine Metallsilizidschicht auf oberen Flächen dieser dotierten Siliziumgebiete vorgesehen wird. Nach der Transistorherstellung sind Verbindungsstrukturen erforderlich, um die Transistoren zur Ausbildung einer Schaltung miteinander zu verbinden. Verbindungsstrukturen werden aus leitenden Schichten hergestellt, die von den Transistoren und anderen Verbindungsschichten durch dielektrische Schichten isoliert sind. In integrierten Schaltungen mit hoher Packungsdichte, die gegenwärtig hergestellt werden, ist in der Regel mehr als eine Verbindungsebene nötig. Verbindungsstrukturen, die das Transistorgate-, Source- und/oder Draingebiet direkt miteinander verbinden und sich zwischen benachbarten Kontaktbereichen erstrecken, werden als „lokale Verbindungsstrukturen" bezeichnet. Lokale Verbindungsstrukturen werden typischerweise zur Herstellung von Verbindungen zwischen Gate-, Source- und/oder Draingebieten benachbarter Transistoren eingesetzt und sind in der Verbindungsebene angeordnet, die dem Substrat am nächsten liegt. Auf Grund ihrer relativ geringen Längen ist ein höherer Widerstand weniger kritisch, und lokale Verbindungsstrukturen können aus Metallen mit höherem Widerstand, etwa Wolfram, oder aus dotiertem Polysilizium und Metallsiliziden hergestellt werden. Verbindungsstruktur, die über größere Abstände über die Schaltung hinweg ausgebildet sind, werden als „globale Verbindungsstrukturen" bezeichnet. Globale Verbindungsstrukturen werden in Schichten weiter über dem Substrat im Vergleich zu lokalen Verbindungsstrukturen hergestellt und werden typischerweise aus Metallen mit geringern Widerstand, etwa Aluminium oder in jüngerer Zeit, Kupfer, gebildet.
  • Die Herstellung von Verbindungsstrukturen bringt einige Anforderungen für die Zwischenschichtdielektrika mit sich, die die Verbindungsebenen trennen. Da Verbindungsstrukturen hergestellt werden, nachdem die Source/Drain-Verunreinigungskonzentrationen eingeführt sind, ist eine relativ geringe Herstellungstemperatur für die Verbindungsstrukturen erforderlich, so dass die Dotierstoffumverteilung minimiert wird. Im Falle der Verbindungsebene, die dem Substrat am nächsten liegt (typischerweise eine lokale Verbindungsstrukturebene), ist das Zwischenschichtdielektrikum, das unter der Verbindungsebene liegt, mit Bereichen der Transistoren in Verbindung. In diesem Falle ist es insbesondere wichtig, dass Defekte in dem Dielektrikum, die mit einem Transistor in Wechselwirkung treten können und damit die Auswirkungen von heißen Ladungsträgern und/oder von Schwellwertspannungsverschiebungen hervorrufen können, minimiert werden. Diese beiden Erfordernisse, d. h. eine niedrige Temperatur bei der Herstellung und eine geringe Defektdichte, können unter Umständen gegenläufig sein. Beispielsweise ermöglicht die plasmaunterstützte chemische Dampfabscheidung (PECVD) von dielektrischen Schichten eine Abscheidung bei geringer Temperatur (typischerweise bei ungefähr 400 Grad C oder weniger), kann aber auch zu einer ü bermäßigen Wasserstoffeinbindung in Dielektrika führen, etwa für Siliziumdioxid ("Oxid") und Siliziumnitrid („Nitrid"). Es wird angenommen, dass Wasserstoff in Dielektrika zu dem Effekt heißer Elektronen in Transistoren beiträgt, indem die Dichte verfügbarer Einfangzustände ansteigt, die von heißen Elektronen eingenommen werden, die in das Dielektrikum eindringen. Wasserstoff diffundiert rasch in Oxid und kann somit zu Grenzflächen aus Si/Oxid in der Nähe des Transistorkanal diffundieren, oder Wasserstoff kann die zuvor bestehenden Verbindungen unterbrechen. Obwohl freiliegende Verbindungen durch die Ausbildung einer Si-H-Verbindung abgeschlossen werden können, sind Si-H-Verbindungen dennoch schwach und können leicht von eingefangenen heißen Elektronen aufgebrochen werden. Auf diese Weise kann Wasserstoff zur einer Erhöhung der Defekte mit heißen Elektronen beitragen.
  • Eine weitere erwünschte Eigenschaft für Zwischenschichtdielektrika, die bei der Verbindungsstrukturherstellung eingesetzt wird, ist die Formtreue, so dass die Schichtdicke über der gesamten Substrattopographie gleich ist. Konforme Zwischenschichtdielektrika stellen sicher, dass eine adäquate Isolatordicke unter jedem Verbindungsstrukturleiter über dessen gesamten Weg über die Schaltung hinweg vorhanden ist, und es wird die Möglichkeit von beispielsweise einer Hohlraumbildung in dielektrischen Schichten, die über Stufen hinweg ausgebildet sind, minimiert. Um eine adäquate Isolatordicke über höherliegenden Strukturelementen selbst nach Einebnung eines Dielektrikums zu gewährleisten, werden Zwischenschichtdielektrika häufig sehr dick (1 μm oder mehr) gemacht. Um Kontaktlochöffnungen durch diese dicken Schichten zu ätzen, um damit Kontakte zu den darunter liegenden Bauelementen oder Verbindungsstrukturen zu schaffen, wird ein schneller Ätzprozess eingesetzt. Da Ätzvorgänge für Dielektrika im Allgemeinen nicht in perfekter Weise selektiv gegenüber Silizium sind, wird eine Ätzstoppschicht zwischen dem Substrat und den darüber liegenden Zwischenschichtdielektrikum benötigt. In diesem Falle tritt ein zusätzliches Erfordernis auf, d. h. eine Kompatibilität zwischen der Ätzstoppschicht und den Zwischenschichtdielektrika ist erforderlich. Ferner ist es wünschenswert, die Abscheidezeit für das Zwischenschichtdielektrikum und die Ätzstoppschicht so kurz wie möglich zu gestalten.
  • Es wäre von Vorteil, ein Verfahren zur Herstellung eines konformen Zwischenschichtdielektrikums für die Herstellung von Verbindungsstrukturen während der Herstellung integrierter Schaltungen zu entwickeln. Es sollte eine Ätzstoppschicht enthalten sein, um eine Überätzung der darunter liegenden Transistoren zu verhindern. Das SiO- Zwischenschichtdielektrikum sollte bei geringen Temperaturen hergestellt werden, so dass die Umverteilung von Dotiermitteln in den Transistoren vermieden wird. Das Dielektrikum sollte auch einen geringen Wasserstoffanteil aufweisen und die Abscheidezeit sollte gering sein.
  • Überblick über die Erfindung
  • Die zuvor beschriebenen Erfordernisse werden zum großen Teil erfüllt mittels eines Prozesses, in welchem eine vorzugsweise aus Tetraethylorthosilikat (TEOS) hergestellte Oxidschicht, eine Siliziumoxynitrid-(SiON) Ätzstoppschicht und ein Ausbacken der SiON-Schicht vor dem Abscheiden des Oxids eingesetzt werden. Ein Transistor mit einem Gatedielektrikum, einem Gateleiter und Source- und Drain-Gebieten wird auf einem Halbleitersubstrat hergestellt. Der Transistor kann dielektrische Seitenwandabstandshalter und ein Silizidgate, Source- und/oder Drain-Kontaktgebiete aufweisen. Die SiON-Schicht wird nachfolgend durch PECVD bei ungefähr 400 Grad C unter Anwendung von Silan, N2 und N2O als Vorstufenmaterialien abgeschieden. Die SiON-Schicht ist vorzugsweise ungefähr 500 Angstrom bis ungefähr 1000 Angstrom dick. Das Ausbacken der SiON-Schicht wird vorzugsweise in einer Kammer ausgeführt, die mit einer oder mehreren Schleusen mit der SiON- und der TEOS-Abscheidekammer verbunden ist, so dass das Substrat nicht der Umgebungsluft zwischen der SiON- und TEOS-Abscheidung ausgesetzt wird. Die Einwirkung der Umgebungsluft könnte möglicherweise eine Partikelkontamination und/oder eine ungewollte Oxidation hervorrufen. Das Ausbacken wird in einer inerten Gasumgebung bei einer Temperatur im Bereich von ungefähr 380 Grad C bis 410 Grad C für eine Zeitdauer im Bereich von ungefähr 30 Sekunden bis ungefähr 1 Minute ausgeführt. Für die TEOS-Abscheidung kann dieses in einem plasmaunterstützten CVD-(PECVD-) Reaktor bei einer Substrattemperatur von ungefähr 400 Grad C zersetzt werden. Die TEOS-Schicht kann mit ungefähr 0,5 μm bis ungefähr 2 μm Dicke gebildet werden. Nachfolgend können Kontakte durch das kombinierte TEOS/SiON-Dielektrikum für Kontakte zu dem Transistor und für die Herstellung einer lokalen Verbindungsstruktur hergestellt werden.
  • Es wird angenommen, dass die TEOS-Abscheidung das Aufbringen eines äußerst konformen Dielektrikums bei geringer Temperatur von ungefähr 400 Grad C ermöglicht. Der Stickstoff in der SiON-Schicht bewirkt, dass diese langsamer geätzt wird als das TEOS während des Ätzens von beispielsweise einem Kontaktloch. Obwohl Nitrid als Ätzstopp schicht wirksamer sein kann, wird angenommen, dass die Verwendung einer Nitridschicht zu ausgeprägteren Effekten in Bezug auf heiße Ladungsträger während des Betriebs der darunter liegenden Transistoren führen kann.
  • Das Ausbacken der TEOS-Schicht soll die Dichte der Defekte, die in der TEOS-Oberfläche nach dem Abscheiden des TEOS über dem SiON auftreten, reduzieren. Ein Problem, das sich bei dem Dielektrikum mit einer Schicht aus TEOS/SiON ergibt, ist die hohe Dichte (bis zu einigen 10 000 pro cm2) an kleinen (weniger als ungefähr 0,2 μm im Durchmesser) bläschenförmigen („Bläschen") Defekten, die während des Abscheidens des TEOS über der SiON-Schicht auftreten. Es wird angenommen, dass diese Defekte durch das Ausgasen aus der SiON-Schicht hervorgerufen werden. Selbst wenn die Defekte das Verhalten des fertigen Bauelements nicht beeinflussen, ist eine derartig große Defektdichte ein Hinderungsgrund für die Anwendung automatisierter Scheibeninspektionssysteme während der Scheibenherstellung. Automatisierte Scheibeninspektionssysteme, etwa wie sie von KLA Tencor hergestellt werden, werden heutzutage in der Halbleiterindustrie für die Erkennung von Fertigungsdefekten, insbesondere von Teilchen und strukturellen Defekten, häufig eingesetzt. Diese Systeme verwenden diverse Beleuchtungs- und Bildverarbeitungsverfahren, etwa die Reflektion eines Lasers von unstrukturierten Scheiben oder die Subtraktion von Bildern aus sich wiederholenden Strukturen auf einer strukturierten Scheibe (Chip-zu-Chip-Vergleich). Die Ausgabe, die von dem KLA-Tencor-Scheibeninspektionssystemen erzeugt wird, enthält typischerweise eine Defektkarte einer Scheibe, in der Informationen über die Größe und die Position der vorhandenen Defekte enthalten sind. Eine derartige Information über Fertigungsdefekte kann entscheidend sein, wenn Qualitätskontrollprobleme erkannt und gelöst werden, die sich während komplexer Halbleiterfertigungsprozesse einstellen. Die zahlreichen TEOS-Bläschendefekte, die zuvor beschrieben sind, können diesen Qualitätskontrollprozess durch die Maskierung des Vorhandenseins anderer Defekte verhindern. Zusätzlich zu dieser Störung der Funktion automatisierter Scheibeninspektionssysteme können die Bläschendefekte für das Schaltungsverhalten nachteilig sein. Beispielsweise können die Defekte die Integrität des TEOS/SiON-Zwischenschichtdielektrikums beeinflussen, wodurch die Wahrscheinlichkeit eines Ladungsträgerdurchgangs durch das Dielektrikum ansteigt. Ferner können die Defekte die Wahrscheinlichkeit eines Ladungsträgereinfangs in dem Dielektrikum in der Nähe des Transistors vergrößern. Ein derartiger Ladungsträgereinfang kann zu Schwellwertspannungsverschiebungen führen.
  • Die Abscheidung des SiON und des TEOS, wie sie zuvor beschrieben ist, wird typischerweise in chemischen Dampfabscheide-(CVD) Kammern ausgeführt, die zu „Cluster- oder Stapelanlagen" gehören, die in der Halbleiterindustrie eingesetzt werden. Cluster-Anlagen enthalten Kammern, die in Gruppen vorgesehen sind, so dass mehrerer Abscheide-, Ätz- oder andere Prozesse sequenziell ausgeführt werden können, ohne dass Substrate der Einwirkung der Umgebungsluft zwischen den einzelnen Prozessen ausgesetzt sind. Die CVD-Kammern besitzen häufig mehrere (beispielsweise 6) Substrathaltepositionen. Ein Substrat wird sequenziell in verschiedene Positionen während eines Abscheidens gebracht, so dass ein Teil der Abscheidung stattfindet, wenn das Substrat jeweils in der Halteposition in der Kammer ist. Beispielsweise kann ein Substrat in die erste Substratposition in der Kammer eingeladen werden, woraufhin ein Sechstel der Abscheidung ausgeführt wird. Das Substrat wird dann zu der zweiten Substratposition bewegt, während ein zweites Substrat in die Kammer transportiert und in die erste Position eingeladen wird. Ein weiteres Sechstel des Abscheideprozesses wird ausgeführt, und der Prozess geht weiter mit dem Transport der beiden Substrate in die benachbarten Positionen und mit dem Einführen eines dritten Substrats in die Kammer. Diese Art eines Systems ist so gestaltet, dass die Gleichförmigkeit einer abgeschiedenen Schicht über das Substrat hinweg verbessert wird, indem Prozessschwankungen gemittelt werden, die von der Position der Kammer abhängig sind, wobei zusätzlich der Durchsatz erhöht wird, indem überlappende Abscheidesequenzen für mehrere Substrate möglich sind. Nach der vollständigen Abscheidung der SiON-Schicht (d. h. in diesem Falle nach 6 Abschnitten) wird ein Substrat zu einer separaten aber vorzugsweise in Verbindung stehenden Kammer für das zuvor beschriebene Ausbacken transportiert. Nach dem Ausbacken wird das Substrat zu einer Abscheidekammer für eine TEOS-Abscheidung transportiert. In ähnlicher Weise wie für die SiON-Abscheidung wird die TEOS-Abscheidung typischerweise in Bereichen so durchgeführt, dass ein Teil der Schicht jeweils abgeschieden wird, wenn das Substrat in einer von mehreren Positionen innerhalb der Kammer ist. Es wird dabei eine TEOS-Schicht mit einer deutlich reduzierten Dichte an Bläschendefekten hergestellt.
  • Zusätzlich zur Verringerung der Dichte an TEOS-Bläschendefekten wird angenommen, dass der hierin beschriebene Prozess zu einer geringeren Durchlaufzeit oder einer Zeit führt, die für die Herstellung einer integrierten Schaltung nach einem Substrat im Vergleich zu einem Prozess ohne einen hierin beschriebenen in-situ-Ausbackprozess führt. Die Verwendung eines in-situ-Ausbackprozesses nach der SiON-Abscheidung ermöglicht kürzere Temperaturausgleichszeiten während der TEOS-Abscheidung. Die Temperaturausgleichszeit ist die Zeit, die zum Stabilisieren der Substrattemperatur vor dem Abscheideabschnitt erforderlich ist, der an jeder Substratposition in der Abscheidekammer durchgeführt wird. Eine Ausgleichs- bzw. Stabilisierungszeit von 45 Sekunden wird typischerweise für die TEOS-Abscheidung benötigt, wobei 10 Sekunden oder weniger für die Abscheidung mit dem Ausbackmodul ausreichend sind. In der Abscheidekammerkonfiguration, die zuvor beschrieben ist, wird jedoch ein neues Substrat in die Kammer eingeführt, bevor die jeweilige Teilabscheidung stattfindet. Die Temperaturausgleichszeit für alle Substrate muss daher stets so lang sein, wie dies für das neue Substrat in der Kammer erforderlich ist, so dass die gleiche Ausgleichszeit für jeden Anteil der Abscheidung für ein gegebenes Substrat verwendet wird. In diesem Falle erfordert die Abscheidung in einer Kammer mit sechs Betriebspositionen 6 × 45 Sekunden oder 4,5 Minuten für den Temperaturausgleich pro Abscheidung.
  • Aus der obigen Erläuterung erkennt man, dass die Reduzierung der Temperaturausgleichszeiten des ersten Bereichs des Abscheidens deutlich die Gesamtabscheidezeit reduzieren kann. Das Vorsehen der in-situ-Ausbackung, die hierin beschrieben ist, vor dem TEOS-Abscheiden kann das Substrat bei einer Temperatur in der Nähe der TEOS-Abscheidetemperatur halten. Daher wird angenommen, dass eine geringere Temperaturausgleichszeitdauer von ungefähr 10 Sekunden an jeder Position in der Kammer oder eine Minute für den gesamten Abscheideprozess für die TEOS-Abscheidevorgänge, die nach dem hierin beschriebenen in-situ-Ausbackprozess durchgeführt werden, ausreichend ist. Im Vergleich zu den typischen Ausgleichszeiten von ungefähr 45 Sekunden, wie sie zuvor beschrieben sind, wenn der in-situ-Ausbackprozess nicht verwendet wird, kann der hierin beschriebene Prozess bis ungefähr 3,5 Minuten pro TEOS-Abscheidung pro Substrat einsparen.
  • Eine alternative Vorgehensweise zum Ausbacken der SOI-Schicht nach dem Abscheiden besteht darin, die Temperaturausgleichszeiten während der SiON-Schicht zu erhöhen, um damit die SOI-Schicht während ihrer Abscheidung auszubacken. Diese Technik erweist sich jedoch als weniger wirksam für die Verringerung der Dichte der TEOS-Bläschendefekte und ist ferner zeitaufwendiger. Dies zeigt an, dass der oberste Bereich der SOI-Schicht die hauptsächliche Quelle der TEOS-Bläschendefekte ist. Der erfindungsgemäße Prozess kann daher die Durchlaufzeit weiter reduzieren, indem kürzere Temperatur ausgleichszeiten währen der SiON-Abscheidung sowie der TEOS-Abscheidung ermöglicht werden.
  • Eine weitere alternative Vorgehensweise besteht darin, die Temperatur der SiON-Abscheidung auf ungefähr 450 Grad zu erhöhen. Es zeigte sich, dass damit die Dichte der Bläschendefekte in dem nachfolgend abgeschiedenen TEOS-Schichten verringert wird. Es zeigte sich jedoch, dass die Auswirkungen der heißen Elektronen während des Betriebs der darunterliegenden Transistoren deutlicher auftraten, wenn diese höhere SiON-Abscheidetemperatur verwendet wird. Es wird daher angenommen, dass die erhöhte Abscheidetemperatur das Gleichgewicht der chemischen Prozesse, die während des Abscheidens auftreten, ändert. Dieses geänderte Gleichgewicht scheint die Aufnahme chemischer Sorten in die Schicht, die während der TEOS-Abscheidung ausgasen und Bläscheneffekte hervorrufen, zu verhindern. Jedoch werden andere Sorten, etwa Wasserstoff, die zu den Effekten heißer Ladungsträger in Transistoren beitragen, anscheinend in größeren Mengen eingebaut werden, so dass diese eine größere Auswirkung auf dem Transistorbetrieb ausüben.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Aufgaben und Vorteile der Erfindung werden aus dem Studium der folgenden detaillierten Beschreibung unter Bezugnahme auf die begleitenden Zeichnungen deutlich, in denen:
  • 1 eine Teilquerschnittsansicht einer Halbleitertopographie mit einem Halbleitersubstrat ist, auf welchem ein Transistor ausgebildet ist, wobei der Transistor eine Gatestruktur mit einem Gateleiter und zwei dielektrischen Seitenwandabstandshaltern, ein Gatedielektrikum, Source- und Drain-Verunreinigungsverteilungen, die zu dem Gateleiter und den Seitenwandabstandshaltern selbstjustiert sind, und Silizidgebiete auf oberen Oberflächen des Source-, Drain- und Gateleiters aufweist;
  • 2 eine Teilquerschnittsansicht der Halbleitertopographie ist, wobei eine Siliziumoxinitridschicht über dem Transistor nach der Transistorherstellung aus 1a abgeschieden wird.
  • 3 eine Teilquerschnittsansicht der Halbleitertopographie ist, wobei eine TEOS-Schicht über der Siliziumoxinitridschicht abgeschieden ist, und wobei mehrere Bläschen- und/oder Hohlraumdefekte in der TEOS-Schicht nach dem Abscheiden der Siliziumoxinitridschicht aus 2 ausgebildet sind;
  • 4 eine Teilquerschnittsansicht der Halbleitertopographie gemäß dem erfindungsgemäßen Prozess ist, wobei die Siliziumoxinitridschicht vor dem Abscheiden der TEOS-Schicht nachfolgend zur Abscheidung des Siliziumoxinitrids aus 2 erwärmt wird;
  • 5 eine Teilquerschnittsansicht der Halbleitertopographie ist, wobei eine TEOS-Schicht über der ausgebackenen Siliziumoxinitridschicht abgeschieden wird, und wobei eine deutlich reduzierte Anzahl an Bläschendefekten an der oberen Fläche der TEOS-Schicht nach dem Aufwärmen aus 4 ausgebildet ist;
  • 6 eine Querschnittsdraufsicht ist, die Substrathaltepositionen eines beispielhaften Abscheidesystems zeigt;
  • 7 eine Draufsicht ist, in der die Gestaltung einer beispielhaften Cluster-Anlage mit einer SiON-Abscheidekammer, einer Heizkammer und einer TEOS-Abscheidekammer gezeigt ist, die gegenseitig verbunden sind und von der Umgebungsatmosphäre getrennt sind;
  • 8 ein Flussdiagramm der Prozesssequenz für eine Ausführungsform des hierin beschriebenen Prozesses ist.
  • Obwohl die Erfindung diversen Modifizierungen und alternativen Formen unterliegen kann, sind dennoch spezielle Ausführungsformen beispielhaft in den Zeichnungen dargestellt und werden im Folgenden detailliert beschrieben. Es sollte jedoch beachtet werden, dass die Zeichnungen und die detaillierte Beschreibung nicht beabsichtigen, die Erfindung auf die spezielle offenbarte Form einzuschränken.
  • Arten zum Ausführen der Erfindung
  • 1 zeigt eine Teilquerschnittsansicht eines Transistors 10 mit einem Gateleiter 16 und einem Gatedielektrikum 14, die über einem Halbleitersubstrat 12 vorgesehen sind. Die lektrische Abstandshalter 18 sind benachbart zu dem Gateleiter 16 ausgebildet. Source- und Drain-Gebiete 20 können unter Anwendung einer leicht dotierten Verunreinigungsverteilung in selbstjustierter Weise zu dem Gateleiter 16 ausgebildet sein, und eine stark dotierte Verunreinigungsverteilung ist selbstjustiert zu lateralen Flächen der Abstandshalter 18 ausgebildet. Nach der Herstellung der Source- und Draingebiete 20 kann ein Salizid-Prozess in einer gut bekannten Weise durchgeführt werden, um Silizide 22 auf oberen Flächen der Source- und Draingebiete und des Gateleiters 16 herzustellen. Die Herstellung der Abstandshalter 18 kann vorteilhaft, um leicht dotierte Gebiete unter den Abstandshaltern zu bilden, die das maximale elektrische Feld verringern, das sich an dem Drain-Ende des Kanals ausbildet. Das geringere elektrische Feld kann den Einfluss der Auswirkungen heißer Ladungsträger reduzieren, etwa den Lawinendurchbruch an dem Drain/Substrat-Übergang und den Einfang von Ladungsträgern in dem Gatedielektrikum. Die Abstandshalter 18 können auch vorteilhaft sein, indem eine Isolierung zwischen dem Source/Drain- und dem Gategebiet erreicht wird, so dass ein Salizidprozess ausgeführt werden kann.
  • Das Halbleitersubstrat 12 ist vorzugsweise ein monokristallines Silizium und ist n-dotiert oder p-dotiert. Genauer gesagt, das Substrat 12 kann eine Epitaxieschicht aus Silizium sein, die auf einem monokristallinem Siliziumsubstrat aufgewachsen wird, oder es kann eine n-dotiertes oder p-dotiertes Potentialtopf- bzw. Wannengebiet sein, das in einem monokristallinen Siliziumsubstrat hergestellt ist. Obwohl diese nicht gezeigt sind, können dielektrische Isolationsgebiete in dem Substrat 12 ausgebildet sein, die den Transistor 10 von benachbarten Transistoren trennen. Ein Verfahren, durch das derartige Isolationsgebiete hergestellt werden können, ist die Ausbildung von Gräben, die im Wesentlichen mit einem abgeschiedenen Dielektrikum aufgefüllt werden, wohingegen ein anderes verwendbares Verfahren die lokale Oxidation des Substrats ist, wobei Siliziumnitrid als Maske für die aktiven Gebiete verwendet wird, in denen die Transistoren herzustellen sind. Das Gatedielektrikum 14 wird vorzugsweise durch Aufheizen des Substrats 12 auf eine Temperatur von mehr als ungefähr 700 Grad C in einer oxidierenden Umgebung zum Wachstum von Siliziumdioxid aufgewachsen. Es können andere Gatedielektrika verwendet werden, die Siliziumnitrid, nitriertes Siliziumdioxid, Siliziumoxinitrid, abgeschiedenes Siliziumdioxid und dergleichen aufweisen. Der Gateleiter 16 ist vorzugsweise ein Polysiliziumgateleiter, der aus einer Polysiliziumschicht strukturiert wird, die unter Anwendung einer chemischen Dampfabscheidung (CVD) von Silizium, beispielsweise aus einer Silanquelle, aufgebracht wird. Ein derartiger CVD-Prozess kann alternativ zu einer amorphen Siliziumschicht führen, ins besondere wenn geringe Temperaturen (kleiner als 580 Grad C) angewendet werden. Eine amorphe Siliziumschicht kann ebenso strukturiert werden, um den Gateleiter 16 zu bilden, und es können andere Materialien, die den nachfolgenden Prozessen widerstehen (etwa wie sie zur Herstellung der Source- und Draingebiete erforderlichen sind), ebenso eingesetzt werden.
  • Die Verunreinigungsverteilungen, die die Source- und Draingebiete 20 bilden, werden vorzugsweise unter Anwendung einer Ionenimplantation eingeführt und sind von gegensätzlichem Leitungstyp im Vergleich zu jenem des Substrats 12. Für einen n-Kanaltransistor ist beispielsweise das Substrat 12 ein p-dotiertes Substrat und die Source- und Draingebiete 20 sind n-dotiert. Typischerweise gehören zu n-Dotiermitteln Arsen und Phosphor, während Bor ein typisches p-Dotiermittel ist. Wenn die Source- und Draingebiete 20 durch Ionenimplantation eingebracht werden, wird ein nachfolgender Ausheizprozess ausgeführt, um die Verunreinigungen zu aktivieren und um Schäden an dem Substrat 12 auszuheilen. Die Abstandshalter 18 sind typischerweise aus Siliziumdioxid aufgebaut, und werden durch CVD einer konformen Siliziumdioxidschicht und einem anisotropen Ätzen der Schicht zur Herstellung der Abstandshalter gebildet. Die Abstandshalter 18 können auch aus anderen Dielektrika, etwa Siliziumnitrid oder Siliziumoxinitrid, hergestellt werden. Die Silizide 22 sind typischerweise Titansilizid oder Kobaltsilizid, können jedoch unter Anwendung anderer Metalle einschließlich Tantal, Nickel, Wolfram, Molybdän und Platin hergestellt werden.
  • Die SiON-Schicht 24 wird nachfolgend über dem Transistor 10 abgeschieden, wie in 2 gezeigt ist. Das SiON kann durch PECVD unter Anwendung von Silan, N2 und N2O als reaktive Gase abgeschieden werden. In diesem Falle ist eine bevorzugte Abscheidetemperatur ungefähr 400 Grad C, kann jedoch auch in einem Bereich von ungefähr 200 Grad bis ungefähr 500 Grad C liegen. Die SiON-Schicht ist vorzugsweise ungefähr 800 Angstrom dick (1 Angstrom = 0,1 nm), kann aber auch eine Dicke im Bereich von ungefähr 400 Angstrom bis ungefähr 2000 Angstrom aufweisen. Es können auch andere reaktive Gase verwendet werden; beispielsweise kann NH3 anstelle von N2 verwendet werden. Zudem kann SiON unter Anwendung anderer Techniken, etwa CVD bei atmosphärischen Druck (APCVD), LPCVD oder CVD bei Raumtemperatur (RTCVD) aufgewachsen werden. Die genaue Zusammensetzung der SiON-Schicht hängt von den Abscheidebedingungen ab, etwa den relativen Durchflussraten der reaktiven Gase, so dass eine sauerstoffreichere oder stickstoffreichere Schicht gebildet werden kann. Obwohl ein höherer Stickstoffanteil die Wirksamkeit der SiON-Schicht als eine Ätzstoppschicht für die darüberliegende TEOS-Schicht erhöhen kann, wird angenommen, dass stickstoffreichere Schichten, die durch PECVD aufgewachsen werden, auch zu deutlicheren Effekten heißer Ladungsträger während des Betriebs der darunter liegenden Transistoren führen können. SiON-Schichten mit einem höheren Stickstoffanteil können mehr Wasserstoff während des PECVD-Abscheideprozesses im Vergleich zu einem geringeren Stickstoffanteil einbauen.
  • Die TEOS-Schicht 26 kann dann über der TEOS-Schicht 24 abgeschieden werden, wie in 3 gezeigt ist. Insbesondere kann eine Zersetzung von Tetraethylorthosilikat (TEOS) in einem PECVD-Reaktor bei einer Substrattemperatur im Bereich von ungefähr 200 Grad bis 500 Grad C zur Herstellung eines äußerst formtreuen Filmes ausgeführt werden. Alternativ kann die TEOS-Schicht 26 durch andere Verfahren einschließlich einer CVD bei geringern Druck (LPCVD) bei einer Substrattemperatur im Bereich von ungefähr 300 Grad C bis ungefähr 800 Grad C gebildet werden. In einer bevorzugten Ausführungsform wird das TEOS bei ungefähr 400 Grad C abgeschieden und besitzt eine Dicke von ungefähr 1,2 Mikrometer. Die TEOS-Schicht 26 kann auch eine Dicke im Bereich von ungefähr 0,5 Mikrometer bis ungefähr 2 Mikrometer aufweisen. Es wird eine hohe Dichte an Bläschendefekten 28 in der Oberfläche der TEOS-Schicht 26 als Ergebnis des Ausgasens der darunterliegenden SiON-Schicht erzeugt. Jeder Defekt 28 hat einen Durchmesser von ungefähr 0,2 Mikrometer oder weniger bei einer TEOS-Dicke von ungefähr 1,2 Mikrometer. Dickere TEOS-Schichten, die über einer SiON-Schicht aufgewachsen sind, wie in den 1 bis 3 gezeigt ist, sollen erwartungsgemäß größere Bläschendefekte aufweisen, während dünnere TEOS-Schichten kleinere Defekte besitzen sollen. Die Bläschendefekte 28 erstecken sich als lokale Hohlräume von dem Entstehungspunkt des Bläschens zu der Oberfläche des TEOS. Diese Ausdehnung von einem Ursprungspunkt zu der TEOS-Oberfläche ist in 3 als gestrichelte Linien dargestellt. Der Einfachheit halber sind die Ausdehnungen für lediglich einige wenige Defekte gezeigt. Die lokalisierten Hohlräume können eine Elektromigration oder andere Zuverlässigkeitsprobleme bewirken, sofern die SiON-Schicht nicht in der hierin beschriebenen Wiese ausgebacken wird.
  • In 4 ist die Anwendung eines thermischen Prozesses 2 auf die SiON-Schicht 24 gezeigt. Der thermische Prozess 2 wird auf die Struktur, die in 2 gezeigt ist, angewendet, bevor die TEOS-Abscheidung stattfindet, die in 3 gezeigt ist. Der thermische Prozess 2 umfasst vorzugsweise das Aufheizen des Substrats auf ungefähr 400 Grad C für eine Minu te lang. Die Ausbacktemperatur kann in einem Bereich von ungefähr 350 Grad C bis ungefähr 450 Grad C liegen, wobei die Ausbackzeit im Bereich von ungefähr 30 Sekunden bis ungefähr 2 Minuten liegt. Der thermische Prozess 2 wird vorzugsweise in einer inerten Gasumgebung, etwa Stickstoff oder Argon, ausgeführt. Des weiteren ist der thermische Prozess 2 vorzugsweise ein in-situ-Ausbackprozess, der in einer Aufheizkammer ausgeführt wird, die mit den SiON- und TEOS-Abscheidekammern unter Anwendung einer oder mehreren Vakuumschleusen verbunden ist. Auf diese Weise wird das Substrat nicht der Einwirkung der Reinraumluft zwischen dem SiON- und der TEOS-Abscheidung ausgesetzt. Alternativ kann der Ausbackprozess auch in der SiON- oder TEOS-Abscheidekammer ausgeführt werden, indem das Substrat ohne Einführen von reaktiven Gasen in die Kammer aufgeheizt wird. Das Aufheizen von Substraten in Abscheidekammern wird typischerweise auf eine möglichst geringe Dauer festgelegt, auf Grund der Möglichkeit einer Kontamination durch beispielsweise Teilchen oder Flocken abgeschiedenen Materials an den Wänden der Kammer. Ferner ist die Ausstattung und die Steuerungssoftware von typischen Clusterabscheidesystemen so ausgebildet, dass ein zusätzlicher Ausheizschritt an einer Substrathalteposition an allen Haltepositionen wiederholt werden muss. Dies kann zu einer größeren Durchlaufzeit für den Prozess führen.
  • Die Abscheidung der TEOS-Schicht 30 über der ausgebackenen SiON-Schicht 24 in 4 ist gemäß dem hierin beschriebenen Prozess in 5 gezeigt. Die TEOS-Schicht 30 wird in ähnlicher Weise wie die TEOS-Schicht 26, die in 3 gezeigt ist, abgeschieden. Die TEOS-Schicht 30 besitzt jedoch eine deutlich geringere Anzahl an Bläschendefekten 28 im Vergleich mit der TEOS-Schicht 26 aus 3. Es wird angenommen, dass die in 5 gezeigten Bläschendefekte ein Ergebnis des thermischen Prozesses 2 sind, der in 4 gezeigt ist. Es wird angenommen, dass das Aufheizen der SiON-Schicht 24 in hohem Maße das Ausgasen aus der SiON-Schicht 24 während des Abscheidens der TEOS-Schicht 30 reduziert und damit auch die Defektbildung, die sich aus einer derartigen Ausgasung ergibt.
  • Nach der Herstellung des Zwischenschichtdielektrikums, wie in 5 gezeigt ist, werden Öffnungen in das Dielektrikum geätzt, um eine Verbindung zu dem Source, Gate und/oder dem Drain des Transistors zu ermöglichen. Diese Öffnungen können beispielsweise unter Anwendung eines Prozesses zur Herstellung eines Wolframpfropfens gefüllt werden, in welchem eine Haftschicht abgeschieden wird, um die Öffnung zu beschichten und in welchem anschließend Wolfram zum Füllen der Öffnung eingefüllt wird. Eine darüberliegende Verbindungsschicht kann dann eine Verbindung zu dem Wolframpfropfen herstellen. Alternativ können lokale Verbindungen direkt aus dem Material des Wolframpfropfens (oder einem anderen Leiter) hergestellt werden, indem Gräben in dem Zwischenschichtdielektrikum strukturiert werden, die dann mit einem Prozess ähnlich zu dem Prozess für die Wolframpfropfen, wie er zuvor beschrieben ist, gefüllt werden. Ferner kann der in den 2, 4 und 5 gezeigte Prozess, anstatt diesen direkt über einem Transistor auszuführen, auch auf einem Substrat ausgeführt werden, das eine oder mehrere zuvor hergestellte Verbindungsschichten aufweist. Die Öffnungen werden dann in dem TEOS/SiON-Dielektrikum hergestellt, um eine Verbindung zwischen darunterliegenden und darüberliegenden Schichten der Verbindungsstruktur zu ermöglichen.
  • In 6 ist eine Draufsicht einer beispielhaften Abscheidekammer 32 gezeigt. Die Abscheidekammern für die Halbleiterindustrie, etwa wie sie in 6 gezeigt sind, werden beispielsweise von Novellus hergestellt. Eine Schleuse 34 ermöglicht eine Verbindung der Kammer 32 mit anderen Kammern, um eine Cluster-Anlage zu bilden. Eine Substratmontage- bzw. Haltefläche 36 umfasst mehrere Substrat- oder Scheiben-Haltepositionen (in diesem Falle 6). Die gestrichelt gezeichneten Pfeile zeigen den Weg, den ein Substrat nimmt, das für einen Abscheideprozess in die Kammer eingeführt wird. Ein erster Teil der abgeschiedenen Schicht wird gebildet, wenn das Substrat auf der ersten Halteposition 38 angeordnet ist. Das Substrat wird dann zu der zweiten Halteposition 40 zum Abscheiden eines zweiten Teils der Schicht transportiert. Der Prozess geht an jeder Halteposition weiter, bis die sechs Bereiche abgeschieden sind, wobei das Substrat sich auf der sechsten Halteposition 42 befindet, und das Substrat wird dann aus der Abscheidekammer heraustransportiert. Obwohl der Prozess für ein einzelnes Substrat beschrieben ist, sollte beachtet werden, dass während eines typischen Arbeitsablaufs des in 6 gezeigten Abscheidesystems ein neues Substrat auf die erste Halteposition eingeladen wird und ein Substrat, das eine vollständig abgeschiedene Schicht erhalten hat, wird aus der Kammer entfernt, nachdem jeder Teils des Abscheidens durchlaufen ist. Anders gesagt, sechs Substrate unterliegen gleichzeitig einem Abscheideprozess, wobei jedes Substrat an einer gewissen Halteposition innerhalb der Kammer angeordnet ist und einen Teil der Gesamtabscheidemenge erhält. Teile einer typischen Kammer, die in 6 nicht gezeigt sind, schließen einen Substrathantierungsmechanismus, eine Substratheizung, eine Abdichtung für die Zufuhr reaktiver Gase, Elektroden für die Plasmaerzeugung und eine oder mehrere Vakuumpumpen mit ein. Ob wohl sechs Substrathaltepositionen in der Kammer aus 6 gezeigt sind, kann auch eine andere Anzahl an Haltepositionen verwendet werden.
  • Eine Schnittansicht von oben, wobei eine beispielhafte Cluster-Anlagenanordnung gezeigt ist, die für eine bevorzugte Ausführungsform des Prozesses der 2, 4 und 5 verwendet werden kann, ist in 7 gezeigt. Eine Gruppe aus Substraten ist in einem Scheibenbehälter 44 gestapelt: Der Behälter 44 wird über eine Schleuse 46 in eine Ladeschleuse/Eingangskammer 48 der Cluster-Anlage eingeladen. Eine Schleuse 50 verbindet die Ladeschleusenkammer 48 mit einer Transferkammer 52. Die Transferkammer 52 enthält einen oder mehrere Substratmanipulatoren 54, die Substrate aus dem Behälter 54 aufnehmen und diesen zu einer Substrathalteposition in einer zugehörigen Kammer transportieren können. Ein Substratmanipulator 54 kann eine beliebige Form aufweisen, obwohl er hier als eine Gabel dargestellt ist, die unter und/oder um ein Substrat herum, etwa ein Substrat 56, passgenau eingreifen kann. Beispielsweise kann eine Vakuumhalterung, die ein Vakuum zum Aufnehmen eines Substrats anwendet, eingesetzt werden. Die Substratmanipulatoren 54 sind für eine Bewegung in diversen Arten ausgebildet, so dass Substrate zu und von den zugehörigen Kammern transportiert werden können. Zu entsprechenden Bewegungsformen gehören eine Rotation, eine Translation entlang einer Bahn, eine Teleskopbewegung, um kürzere oder längere Distanzen zu überbrücken.
  • Ein Verfahrensablauf zur Ausführung des Prozesses, wie er hierin beschrieben ist, wobei eine Anlage eingesetzt wird, wie sie beispielsweise in 7 gezeigt ist, ist in dem Flussdiagramm aus 8 dargelegt. Der Ablauf des Flussdiagramms ist hierin beschrieben, wobei Bezugszeichen für Teile der in 7 gezeigten Anlage verwendet werden. Um den hierin beschriebenen Prozess für die Herstellung des Zwischenschichtdielektrikums auf dem Substrat 56 auszuführen, wird das Substrat über das Ventil bzw. Schleuse 58 auf die erste Substrathalteposition 60 der SiON-Abscheidekammer 62 geladen. Es wird dann eine SiON-Schicht in sechs Teilen aufgebracht, wobei das Substrat durch die Kammer transportiert wird, wie in 6 gezeigt ist. Wein 8 beschrieben ist, wird das Substrat dann auf die Abscheidetemperatur aufgeheizt und es findet eine Temperaturstabilisierung vor dem Einführen der reaktiven Gase statt. Dieser Prozess wird für jeden Teil der Abscheidung wiederholt. Nach dem Abscheiden des letzten Teils der SiON-Schicht in der sechsten Halteposition 64 wird das Substrat 56 aus der SiON-Abscheidekammer 62 heraustansportiert.
  • Das Substrat 56 wird nachfolgend durch die Schleuse 66 transportiert und auf die Halteposition 68 der Aufheizkammer 70 aufgebracht. Das Substrat 56 wird dann auf ungefähr 400 Grad C eine Minute lang aufgeheizt, wie dies auch in 4 gezeigt ist. Das Aufheizen kann beispielsweise mittels einem System aus entsprechenden Lampen erreicht werden, die in der Kammer so angeordnet sind, dass das Substrat durch Strahlung aufgeheizt wird. Auf Lampen beruhende Heizsysteme bieten typischerweise eine sehr rasche (einige Sekunden) Antwortzeit für das Aufheizen und das Abkühlen. Nachfolgend zu dem Ausbacken in der Aufheizkammer 70 wird das Substrat 56 über die Schleuse 72 zu der ersten Substrathalteposition 74 der TEOS-Abscheidekammer 76 transportiert. Die TEOS-Abscheidung geht in sechs Teilen vonstatten, in ähnlicher Weise wie die SiON-Abscheidung. Nach dem Abscheiden des letzten Teils der TEOS-Schicht ist der Herstellungsprozess für das Zwischenschichtdielektrikum abgeschlossen, wie in 8 gezeigt ist. Es kann nachfolgend ein Ätzprozess ausgeführt werden, um Öffnungen in der dielektrischen Schicht für die Verbindung zu darunterliegenden Transistoren oder Verbindungsstrukturen zu bilden. Der Ätzprozess kann in einer weiteren Kammer ausgeführt werden, die als Teil der Cluster-Anlage der 7 angeschlossen ist. Alternativ wird das Substrat zu einer separaten Kammer für die weitere Bearbeitung transportiert. Wie bei der Erläuterung der 6 dargelegt ist, sollte beachtet werden, dass ein neues Substrat typischerweise in jede Kammer eingeführt wird, sobald die erste Halteposition nicht besetzt ist, so dass mehrere Substrate gleichzeitig prozessiert werden. Im Falle, dass ein Prozess in einer Kammer eine unterschiedliche Zeitdauer erfordert als ein Prozess in einer nachfolgend verwendeten Kammer, können Punkte in der Herstellungssequenz vorgesehen sein, die als „Sammelpunkte" benutzt werden, wobei auf einem verfügbaren Platz in einer Kammer gewartet wird. In diesem Falle können Substrate temporär in beispielsweise Behältern nach dem Verlassen einer einzelnen Kammer und vor dem Einführen in eine weitere Kammer gelagert werden. Wie im Falle der 6 sind diverse Aspekte einer tatsächlichen Cluster-Anlage einschließlich von Substratheizern, Zuführen für reaktive Gase, Elektroden für die Plasmaerzeugung, Vakuumpumpen, in 7 nicht gezeigt.
  • Industrielle Anwendbarkeit
  • Diese Erfindung ist für eine Reihe industrieller Anwendungen geeignet, zu denen, ohne einschränkend zu sein, das Gebiet der Herstellung integrierter Schaltungen gehört. Gemäß der obigen Offenbarung wird die Herstellung einer integrierten Schaltung ausgeführt, indem ein Verfahren zur Herstellung eines Zwischenschichtdielektrikums aus TEOS-SiON bereitgestellt wird. Weitere Modifizierungen und alternative Ausführungsformen diverser Aspekte werden für den Fachmann angesichts dieser Beschreibung offenkundig. Beispielsweise können eine andere Anlagen als die Cluster-Anlage und Abscheidekammern, wie sie hierin beschrieben sind, beim Ausführen des hierin beschriebenen Prozesses verwendet werden. Andere Arten an Abscheidekammern, die verwendet werden könnten, schließen LPCED-Reaktoren mit horizontaler Röhre ein. Des weiteren kann die hierin beschriebene Herstellung des Zwischenschichtdielektrikums auf Schaltungen angewendet werden, die keine MOS-Transistoren, sondern bipolare integrierte Schaltungen enthalten. Die folgenden Patentansprüche sollen so interpretiert werden, dass alle derartigen Modifizierungen und Änderungen eingeschlossen sind, und die Beschreibung und die Zeichnungen sind lediglich anschaulicher Natur und sind nicht einschränkend zu betrachten.

Claims (8)

  1. Verfahren zur Herstellung eines Zwischenschichtdielektrikums mit: Bilden einer Siliziumoxinitridschicht (24) auf in Kontakt mit einem Transistor (10), wobei der Transistor (10) auf und in einer Halbleitertopographie (12) gebildet ist; Ausführen eines Ausbackvorganges (2) an der Siliziumoxinitridschicht (24); und Bilden einer Siliziumdioxidschicht (30) direkt über der Siliziumoxinitridschicht (24).
  2. Verfahren nach Anspruch 1, wobei das Bilden der Siliziumoxinitridschicht (24) eine chemische Dampfabscheidung umfasst, wobei eine Abscheidetemperatur in einem Bereich von ungefähr 200° C bis ungefähr 500° angewendet wird.
  3. Verfahren nach Anspruch 2, wobei die chemische Dampfabscheidung umfasst: Silan mit einer stickstoffenthaltenden Chemikalie und einer sauerstoffenthaltenden Chemikalie zur Reaktion bringen.
  4. Verfahren nach Anspruch 2 oder 3, wobei das chemische Dampfabscheiden umfasst: Einladen der Halbleitertopographie (12) in eine erste Substrathalteposition (38) einer Abscheidekammer (32) mit mehreren Substrathaltepositionen; Aufheizen der Halbleitertopographie (12), bis die Abscheidetemperatur mit einem vorbestimmten Maß an Genauigkeit beibehalten wird; Einführen von reaktiven Gasen in die Abscheidekammer (32) für eine vorbestimmte Zeitdauer, so dass die Siliziumoxinitridschicht (24) mit einer anfänglichen Dicke abgeschieden wird; und Bewegen der Halbleitertopographie (12) zu einer benachbarten Halteposition (40) in der Abscheidekammer (32), um die Siliziumoxinitridschicht (24) mit einer größeren Dicke abzuscheiden.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Ausführen des Ausbackvorganges (2) umfasst: Aufheizen der Halbleitertopographie (12) unter Anwendung einer Ausbacktemperatur im Bereich von ungefähr 350° C bis ungefähr 450° C.
  6. Verfahren nach Anspruch 5, wobei das Aufheizen umfasst: Einladen der Halbleitertopographie (12) in eine Substrathalteposition (68) einer Aufheizkammer (70), wobei die Aufheizkammer (70) unter Anwendung einer oder mehrerer Vakuumventile (66) mit einer oder mehreren Abscheidekammern (32; 62, 76) verbunden ist; und Aufheizen der Halbleitertopographie (12) auf die Ausbacktemperatur für eine Zeitdauer im Bereich von ungefähr 30 Sekunden bis ungefähr 2 Minuten.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der Siliziumdioxidschicht (30) umfasst: Zersetzen von Tetraethylorthosilikat (TEOS) unter Anwendung einer Abscheidetemperatur im Bereich von ungefähr 300° C bis ungefähr 800° C.
  8. Verfahren nach Anspruch 7, wobei das Zersetzen umfasst: Einladen der Halbleitertopographie (12) in eine erste Substrathalteposition (74) einer Abscheidekammer (76) mit mehreren Substrathaltepositionen; Aufheizen der Halbleitertopographie (12), bis die Abscheidetemperatur entsprechend einem vorbestimmten Maß an Genauigkeit beibehalten wird; Einführen des TEOS in die Abscheidekammer (76) für eine vorbestimmte Zeit lang, so dass die Siliziumdioxidschicht (30) mit einer anfänglichen Dicke abgeschieden wird; und Bewegen der Halbleitertopographie (12) zu einer benachbarten Halteposition in der Abscheidekammer (76), um die Siliziumdioxidschicht (30) mit einer größeren Dicke abzuscheiden.
DE69931656T 1998-11-25 1999-06-16 VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT Expired - Lifetime DE69931656T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US200016 1998-11-25
US09/200,016 US6124217A (en) 1998-11-25 1998-11-25 In-situ SiON deposition/bake/TEOS deposition process for reduction of defects in interlevel dielectric for integrated circuit interconnects
PCT/US1999/013575 WO2000031790A1 (en) 1998-11-25 1999-06-16 Process for forming a sion/teos interlevel dielectric with after-treatment of the cvd silicum oxynitride layer

Publications (2)

Publication Number Publication Date
DE69931656D1 DE69931656D1 (de) 2006-07-06
DE69931656T2 true DE69931656T2 (de) 2007-03-08

Family

ID=22739966

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69931656T Expired - Lifetime DE69931656T2 (de) 1998-11-25 1999-06-16 VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT

Country Status (6)

Country Link
US (1) US6124217A (de)
EP (1) EP1135796B1 (de)
JP (1) JP4456276B2 (de)
KR (1) KR100562541B1 (de)
DE (1) DE69931656T2 (de)
WO (1) WO2000031790A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297148B1 (en) * 1999-08-19 2001-10-02 Advanced Micro Devices, Inc. Method of forming a silicon bottom anti-reflective coating with reduced junction leakage during salicidation
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
KR100331861B1 (en) * 2000-07-21 2002-04-09 Hynix Semiconductor Inc Method for fabricating gate electrode of semiconductor device
US6727168B2 (en) * 2001-10-24 2004-04-27 Micron Technology, Inc. Method of forming local interconnects
US7009226B1 (en) * 2004-07-12 2006-03-07 Advanced Micro Devices, Inc. In-situ nitride/oxynitride processing with reduced deposition surface pattern sensitivity
KR100643493B1 (ko) * 2004-09-23 2006-11-10 삼성전자주식회사 반도체 장치의 실리콘 산질화막을 형성하는 방법 및 장치
US7301185B2 (en) * 2004-11-29 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage transistor device having an interlayer dielectric etch stop layer for preventing leakage and improving breakdown voltage
US7214988B2 (en) * 2005-09-20 2007-05-08 United Microelectronics Corp. Metal oxide semiconductor transistor
US7648871B2 (en) * 2005-10-21 2010-01-19 International Business Machines Corporation Field effect transistors (FETS) with inverted source/drain metallic contacts, and method of fabricating same
TWI477911B (zh) 2009-12-15 2015-03-21 羅門哈斯電子材料有限公司 光阻劑及其使用方法
CN102194673B (zh) 2009-12-15 2015-08-05 罗门哈斯电子材料有限公司 光致抗蚀剂及其使用方法
EP2472327A1 (de) 2010-12-30 2012-07-04 Rohm and Haas Electronic Materials LLC Fotoresiste und Verwendungsverfahren dafür

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4619034A (en) * 1983-05-02 1986-10-28 Ncr Corporation Method of making laser recrystallized silicon-on-insulator nonvolatile memory device
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
JPS6419760A (en) * 1987-07-15 1989-01-23 Fuji Electric Co Ltd Semiconductor integrated circuit device
KR910008835B1 (ko) * 1988-09-30 1991-10-21 삼성전자 주식회사 반도체장치 및 제조방법
TW347149U (en) * 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5413940A (en) * 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
US6127261A (en) * 1995-11-16 2000-10-03 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit including a tri-layer pre-metal interlayer dielectric compatible with advanced CMOS technologies
US5861340A (en) * 1996-02-15 1999-01-19 Intel Corporation Method of forming a polycide film
US5648287A (en) * 1996-10-11 1997-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of salicidation for deep quarter micron LDD MOSFET devices
US5998270A (en) * 1997-05-15 1999-12-07 Advanced Micro Devices Formation of oxynitride and polysilicon layers in a single reaction chamber
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
JP3305627B2 (ja) * 1997-08-06 2002-07-24 富士通株式会社 半導体装置とその製造方法

Also Published As

Publication number Publication date
EP1135796A1 (de) 2001-09-26
JP4456276B2 (ja) 2010-04-28
KR20010080548A (ko) 2001-08-22
WO2000031790A1 (en) 2000-06-02
EP1135796B1 (de) 2006-05-31
US6124217A (en) 2000-09-26
DE69931656D1 (de) 2006-07-06
KR100562541B1 (ko) 2006-03-22
JP2002530887A (ja) 2002-09-17

Similar Documents

Publication Publication Date Title
DE102014109562B4 (de) Verfahren zum Ausbilden einer Halbleitervorrichtung und Verfahren zum Ausbilden einer Kontaktstruktur
DE102005030065B4 (de) Festphasenepitaxie verwendendes Halbleiterbauelement und Verfahren zur Herstellung desselben
DE112005003123B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements mit mehreren gestapelten Schichten mit Hybridorientierung
US4557036A (en) Semiconductor device and process for manufacturing the same
US5459101A (en) Method for fabricating a semiconductor device comprising a polycide structure
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102004013928A1 (de) Grabenisolation mit dotierter Oxid-Grabenfüllung
DE10214066A1 (de) Halbleiterelement mit retrogradem Dotierprofil in einem Kanalgebiet und ein Verfahren zur Herstellung desselben
DE102010064288B4 (de) Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
DE2845460A1 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE69931656T2 (de) VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT
DE102017212653A1 (de) IC-Struktur mit einem Grenzflächen-Liner und Verfahren zum Bilden selbiger
DE10255849A1 (de) Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit großem ε
DE4300986C2 (de) Halbleitervorrichtung zur Elementisolierung und Herstellungsverfahren derselben
DE112005002350T5 (de) Ein Verfahren zur Herstellung eines Halbleiterbauelements mit High-k-Gate-Dielektrikumschicht und Silizid-Gate-Elektrode
DE19630342A1 (de) Halbleitereinrichtung und Herstellungsverfahren dafür
DE102010038746B4 (de) Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
DE19535629C1 (de) Verfahren zur Herstellung einer integrierten CMOS-Schaltung
DE10324433A1 (de) Verfahren zur Herstellung eines Substratkontakts für ein SOI-Halbleiterbauteil
DE102017118292A1 (de) Sperrschichtbildung unter Verwendung einer thermischen Bearbeitung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE10056866A1 (de) Verfahren zur Bildung einer Ätzstoppschicht während der Herstellung eines Halbleiterbauteils
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102010028458A1 (de) Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
DE10214065B4 (de) Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,