DE69837054T2 - GASIMMERSIONSLASER-ERHITZUNGSMETHODE GEEIGNET ZUR HERSTELLUNG INTEGRIERTER SCHALTUNGEN VON REDUZIERTER GRÖßE - Google Patents

GASIMMERSIONSLASER-ERHITZUNGSMETHODE GEEIGNET ZUR HERSTELLUNG INTEGRIERTER SCHALTUNGEN VON REDUZIERTER GRÖßE Download PDF

Info

Publication number
DE69837054T2
DE69837054T2 DE69837054T DE69837054T DE69837054T2 DE 69837054 T2 DE69837054 T2 DE 69837054T2 DE 69837054 T DE69837054 T DE 69837054T DE 69837054 T DE69837054 T DE 69837054T DE 69837054 T2 DE69837054 T2 DE 69837054T2
Authority
DE
Germany
Prior art keywords
silicon
mosfets
layer
source
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69837054T
Other languages
English (en)
Other versions
DE69837054D1 (de
Inventor
Somit Los Gatos TALWAR
Kurt San Jose WEINER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ultratech Inc
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Application granted granted Critical
Publication of DE69837054D1 publication Critical patent/DE69837054D1/de
Publication of DE69837054T2 publication Critical patent/DE69837054T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Diese Erfindung betrifft die Herstellung integrierter Schaltungen (IS) und insbesondere die Herstellung von IS, die Metalloxid-Halbleiter-Feldeffekt-Transistoren (MOSFET) umfassen, welche eine flache Übergang-Bildung nutzen.
  • Beschreibung des Stands der Technik
  • U.S. Patent US 590837 wurde am 31. Januar 1997 eingereicht und der Anmelderin der vorliegenden Anmeldung übertragen. Die vorliegende Erfindung und das oben erwähnte Patent befassen sich mit alternativen Lösungen für ein Problem, das sich bei der Herstellung von MOSFETs (z.B. CMOS-Feldeffekttransistoren) mit Längenmaßen des Gatters (= Gate), die von 0,25 μm auf nur 0,18 μm verkleinert sind, ergibt. Bei der CMOS-Technologie mit 0,18 μm werden diese Übergangstiefen durch die „The National Technical Roadmap for Semiconductors" (1995) der Semiconductor Industry Association auf unter 80 nm angesetzt.
  • Der erste Schritt jeder dieser alternativen Lösungen ist das Amorphisieren einer ausgewählten Oberflächenschicht aus kristallinem Silizium jedes MOSFET auf eine ausgewählte Tiefe. Die verbleibenden Schritte jeder dieser alternativen Lösungen unterscheiden sich aber voneinander.
  • Bei der in dem oben erwähnten Patent offenbarten Lösung wird eine ausgewählte Menge an Dotierungsmaterial als Schicht auf die Oberfläche der amorphisierten ausgewählten Oberflächenschicht des Siliziums abgeschieden, und dann wird mindestens ein Teil der amorphisierten ausgewählten Oberflächenschicht des Siliziums zeitweilig unter Verwendung vorbekannten Projektions-Gasimmersionslaserdotierens (P-GILD) über eine bestimmte Zeitlänge auf eine Temperatur erhitzt, die ausreicht, um das amorphisierte Silizium zu schmelzen, aber nicht ausreicht, um das kristalline Silizium zu schmelzen (da die Schmelztemperatur des amorphisierten Siliziums erheblich unter der des kristallinen Siliziums liegt). P-GILD ist ein relativ neues, auf dem Gebiet bekanntes Verfahren zum Dotieren von Silizium, das beim bisherigen Prozess bis zu 11 Schritte unnötig macht und sehr flache, scharf ausgebildete Dotierungsbereiche unter Abdeckung eines breiten Bereichs an Dotierungskonzentration erzeugen kann. Als bahnbrechendes Vorgehen zum Integrieren von Fremdbestandteilen an präzisen Stellen im Silizium macht P-GILD die Notwendigkeit das Bauens einer Maske an der Scheibe (Wafer) zum Ausbilden der Bereiche, die vor der Implantation zu dotieren sind, unnötig. Gemäß der Lehre der oben erwähnten Patentanmeldung wird die Dotierungstiefe (und somit die Tiefe der in der ausgewählten Oberflächenschicht gebildeten Übergänge) allein durch die Tiefe der geschmolzenen amorphisierten ausgewählten Oberflächenschicht bestimmt. Nach Ende der bestimmten Erhitzungsdauer darf das geschmolzene Silizium des erhitzten Teils abkühlen, wodurch eine Rekristallisierung des Siliziums dieses Teils der ausgewählten Oberflächenschicht bewirkt wird. Schließlich kann das rekristallisierte Silizium dieses Teils der ausgewählten Oberflächenschicht ausgeheilt werden.
  • Wie bekannt ist, erzeugen Amorphisationsimplantate eine Supersättigung von Punktdefekten. Bei Ausheilen führt die Punktdefektinjektion zu einer Keimbildung ausgedehnter Defekte. Eine über eine ausgewählte Zeitdauer angelegte kritische Ausheilungstemperatur (z.B. 1.050°C für 10 s) mittels herkömmlicher schneller thermischer Prozesse ist normalerweise zum Ausheilen der ausgedehnten Defekte erforderlich. Das Laserschmelzen des amorphisierten Siliziums durch den P-GILD-Dotierschritt ergibt aber inhärent einen gewissen Betrag an Ausheilen. Ferner pflegen sich die Übergänge aufgrund der kleinen Anzahl an Punktdefekten, die nach dem Laserprozess vorhanden sind, infolge dieses thermischen Zyklus nicht stark zu bewegen. Daher ist, wie in der oben erwähnten Patentanmeldung vermutet wird, ein zusätzliches Ausheilen für laserausgeheilte Übergänge eventuell nicht erforderlich. Wie weiterhin in dem oben erwähnten Patent vermutet wird, muss aber das exakte Ausmaß der Diffusion nach dem Laserausheilen experimentell bestimmt werden, um zu ermitteln, ob weiteres Ausheilen erforderlich ist.
  • Die durch die vorliegende Erfindung vorgesehene Lösung nutzt die vorbekannte Ionenimplantation von Dotierungsmaterialien in mindestens einem Teil der ausgewählten amorphisierten Oberflächenschicht des Siliziums, und dann wird die Silizium-Oberflächenschicht zeitweilig unter Verwendung von thermischem Laserausheilen (LTA, so genanntes Laser Thermal Annealing) über eine bestimmte Zeitdauer auf eine Temperatur erhitzt, die zum Schmelzen von amorphisiertem Silizium ausreichend ist, aber nicht zum Schmelzen von kristallinem Silizium ausreicht (da die Schmelztemperatur von amorphisiertem Silizium erheblich unter der von kristallinem Silizium liegt). Nach Ende der bestimmten Erhitzungszeit lässt man das geschmolzene Silizium des erhitzten Teils abkühlen, wodurch eine Rekristallisierung des Siliziums dieses Teils der ausgewählten Oberflächenschicht bewirkt wird.
  • Wenngleich der LTA-Prozess in gewisser Hinsicht dem P-GILD-Prozess ähnelt, unterscheidet sich der LTA-Prozess in anderer Hinsicht wesentlich vom P-GILD-Prozess. Bei beiden Prozessen wird eine ausgewählte Fläche einer Siliziumscheibe in ein Gas getaucht und es wird Hochleistungsprojektionslaserstrahlung verwendet, um das Immersionsgas und die bestimmten Bereiche der Scheibenoberfläche zu erhitzen, die dann durch die Strahlung beleuchtet werden. Das in dem P-GILD-Prozess eingesetzte Immersionsgas ist aber ein aktives Gas, das verdampfte Dotierungsmaterialien umfasst, während das in dem LTA-Prozess verwendete Immersionsgas ein verhältnismäßig inertes Gas ist, beispielsweise Stickstoff. Ferner muss in dem P-GILD-Prozess das Auflösungsvermögen der Projektionsoptik hoch genug sein, um eine Gruppe von beabstandeten Photomasken-Pattern auf eine entsprechende Gruppe schart definierter beabstandeter Dotierungsbereiche der Siliziumscheibe präzis abzubilden. Bei dem LTA-Prozess ist aber das Auflösungsvermögen der Projektionsoptik (die nur ausreichend sein muss, um die gesamte ausgewählte Fläche der Siliziumscheibe mit der Beleuchtungsstrahlung zu fluten) erheblich geringer als das hohe Auflösungsvermögen der von dem P-GILD-Prozess benötigten Projektionsoptik. Daher ist klar, dass eine zur Verwendung mit dem LTA-Prozess geeignete Projektionsoptik einfacher und billiger ist als eine zur Verwendung mit dem P-GILD-Prozess geeignete Projektionsoptik. Damit aber bei der Herstellung von MOSFETs, die flache Übergangsbildung verwenden, der LTA-Prozess durchführbar ist, müssen die Prozessmargen groß genug sein, um Energieschwankungen der Laserbeleuchtung zu berücksichtigen. Diese Bedingung kann mit der richtigen Wahl von Lasern und der entsprechenden räumlichen Homogenisierung der Laserbeleuchtung erfüllt werden.
  • Dennoch ergeben sich bei der Herstellung von MOSFETs unter Verwendung flacher Übergänge Probleme, wenn der LTA-Prozess an dem Punkt im Herstellungsprozess, der auf die Implantation von Dotierungsmaterialien in die amorphisierte Siliziumschicht folgt, direkt an der Siliziumscheibenoberfläche verwendet wird. Diese Probleme sind auf die Tatsache zurückzuführen, dass die Siliziumscheibenoberfläche kein gleichmäßiger Absorber der einfallenden Strahlung ist. Diese fehlende Gleichmäßigkeit führt zu Geometriewirkungen über der mit Strahlung gefluteten ausgewählten Fläche, die Schwankungen und Verschiebungen des Schmelzgrenzwerts der gerade hergestellten MOSFETs mit flachem Übergang bewirken. Solche Verschiebungen des Schmelzgrenzwerts bringen bekanntlich zuviel Veränderlichkeit in den LTA-Prozess ein, um brauchbar zu sein.
  • Die vorliegende Erfindung geht diesen Nachteil der Schmelzgrenzwertverschiebung an, wodurch der LTA-Prozess bei der Herstellung mehrerer MOSFETs mit flachem Übergang durchführbar wird, wobei die MOSFETs durch im Wesentlichen transparente Isolationselemente voneinander beabstandet sind.
  • Kurzdarlegung der Erfindung
  • Offenbart wird eine Verbesserung bei einem Verfahren zum Herstellen mindestens bestimmter Teile von Quellen(= Source)- und Senken(=Drain)-Übergängen mehrerer beabstandeter MOSFETs auf einer ausgewählten Fläche einer Oberflächenschicht eines Substrats. Die ausgewählte Fläche weist ein Isolationselement auf, das aus einem ersten ausgewählten Material besteht, das im Wesentlichen transparent gegenüber der Laserstrahlung gewählter Wellenlänge ist, das zwischen dem kristallinen Siliziummaterial benachbarter der mehreren gerade hergestellten MOSFETs angeordnet ist. Ein Schritt in dem oben erwähnten Verfahren umfasst das Amorphisieren einer Oberflächenschicht des kristallinen Siliziummaterials der mehreren gerade hergestellten MOSFETs auf eine ausgewählte Tiefe. Die Verbesserung bei dem oben erwähnten Verfahren umfasst weiterhin folgende Schritte:
    Ionenimplantieren einer ausgewählten Dosis von Dotierungsmaterialien des geeigneten Typs in die Siliziumoberflächenschicht der gerade hergestellten mehreren n-Kanal- oder p-Kanal-MOSFETs entweder vor oder nach dem Schritt des Amorphisierens;
    Abscheiden mindestens einer Schicht aus einem zweiten ausgewählten Material einer zweiten ausgewählten Dicke über der gesamten ausgewählten Fläche der Oberflächenschicht des Substrats, wobei die Schicht des zweiten ausgewählten Materials (1) die darauf fallende Laserstrahlung ausgewählter Wellenlänge absorbiert, (2) eine Schmelztemperatur über der von kristallinem Silizium aufweist und (3) eine Oberfläche aufweist, die bezüglich der einfallenden Laserstrahlung ausgewählter Wellenlänge in einer exponierten Stellung angeordnet ist; und
    dann Fluten der gesamten ausgewählten Fläche der Oberfläche der Schicht des zweiten ausgewählten Materials mit einem ausgewählten Wert einer Laserstrahlung der ausgewählten Wellenlänge von im Wesentlichen gleichmäßiger Energie, wobei der ausgewählte Wert solcher Art ist, dass er dazu führt, dass das unter der ausgewählten Fläche liegende Silizium auf eine Temperatur erhitzt wird, die zum Schmelzen amorphisierten Siliziums ausreicht, aber nicht zum Schmelzen des unter dem amorphisierten Silizium liegenden kristallinen Siliziums ausreicht.
  • Bei Abkühlen rekristallisiert das geschmolzene Silizium, wodurch sichergestellt wird, dass die Tiefe der bestimmten Teile der Quellen- und Senken-Übergänge der mehreren beabstandeten MOSFETs allein durch die ausgewählte Tiefe der amorphisierten Oberflächenschicht bestimmt wird.
  • Kurzbeschreibung der Zeichnungen
  • 1 (die mit 1 des oben erwähnten Patents identisch ist) zeigt schematisch den Aufbau eines n-Kanal- und eines p-Kanal-FET, die gemäß der modernen Silizium-CMOS-Technologie hergestellt wurden;
  • 2 zeigt schematisch den Aufbau der beiden FETs von 1, die gemäß den Verfahrensschritten der vorliegenden Erfindung herzustellen sind, in einer Herstellungsphase, die direkt nach Beenden (1) der Herstellung der Isolationselemente der FETs, (2) des Dotierens der FET-Wannen, (3) der Amorphisation der Siliziumoberflächenschichten der FETs und (4) des Dotierens der Quellen und Senken der FETs erfolgt.
  • 2a dient zur Beschreibung des Problems der Schmelzgrenzwertverschiebungserhitzung 10, die eintreten würde, wenn die Oberfläche der Struktur von 2 entsprechend dem LTA-Prozess direkt bestrahlt würde;
  • 2b zeigt die Veränderlichkeit des Reflexionsvermögens von SiO2 als Funktion der Dicke verglichen mit der Konstanz des Reflexionsvermögens von SiO2 als Funktion der Dicke;
  • 3 zeigt schematisch die Struktur der beiden FETs von 1, die entsprechend den erfindungsgemäßen Verfahrensschritten herzustellen sind, bei einer späteren Herstellungsstufe als der in 2 gezeigten; und
  • 3a dient zur Beschreibung der durch die Oberfläche 20 der Struktur von 3, die entsprechend dem LTA-Prozess direkt bestrahlt wird, verursachten Erhitzungswirkung.
  • Beschreibung der bevorzugten Ausführung
  • Unter Bezug auf 1 werden 2 komplementäre CMOS-Strukturen gezeigt, die Siliziumsubstrat 100, Isolationselemente 102 (bestehend aus SiO2) und komplementäre FETs 104a und 104b umfassen. Die Struktur der komplementären FETs 104a und 104b unterscheidet sich nur darin, dass FET 104a eine n-Wanne 106a, p+ Quelle 108a und p+ Senke 110a umfasst, während FET 104b eine p-Wanne 106b, n+ Quelle 108b und n+ Senke 110b umfasst. Ansonsten ist die Struktur der komplementären MOSFETs 104a und 104b ähnlich. Im Einzelnen umfassen beide komplementären MOSFETs 104a und 104b (1) ein Gate-Poly 112, das von der Wanne dieses MOSFET durch eine dünne Schicht 114 aus SiO2 getrennt ist, (2) Seitenwandabstandselemente 116, die das Gate-Poly 112 jedes der MOSFETs 104a und 104b lagern, (3) elektrische Kontakte aus Silizid 117, die oben auf dem jeweiligen Gate-Poly, der Quelle und Senke jedes der komplementären MOSFETs 104a und 104b angeordnet sind, und (4) leicht dotierte Extensionen 118, die Quelle und Senke jedes der komplementären MOSFETs 104a und 104b mit dem Kanalbereich unter dem Gate-Poly verbinden.
  • Aus 1 geht hervor, dass die flachsten Übergänge die leicht dosierten Extensionen 118 sind, die die tiefen Quellen und Senken mit dem Gate-Poly-Kanal verbinden. Die Extensionen 118 sind erforderlich, um ein DIBL (drain induced barrier lowering) und Durchgriff zu verhindern.
  • Die frühesten Schritte sowohl des in dem oben erwähnten Patent offenbarten Verfahrens als auch des erfindungsgemäßen Verfahrens zum Herstellen der komplementären MOSFETs 104a und 104b von 1 beinhalten das Dotieren des Siliziumsubstrats 100, um jede der Wannen 106a und 106b zu bilden, gefolgt von der Herstellung des Gate-Poly 112, das sich über einer dünnen Schicht 114 aus SiO2 befindet. Der nächste in 2 gezeigte Schritt sowohl des in dem oben erwähnten Patent offenbarten Herstellungsverfahrens als auch des erfindungsgemäßen Verfahrens umfasst das Amorphisieren auf die erwünschte ultraflache Tiefe der gerade hergestellten Extensionen 118, wobei jene Bereiche der MOSFETs von 1 gerade hergestellt werden, die die obere polykristalline Siliziumschicht 200 von Gate-Poly 112 und die oberen kristallinen Siliziumoberflächenschichten 202a, 202b, 204a und 204b der Wanne 106 umfassen (wobei die Oberflächenschichten 202a, 202b, 204a und 204b in die Quelle und Senken 108a, 108b, 110a und 110b der gerade hergestellten MOSFETs aufzunehmen sind). Die Amorphisierung kann durch Ionenimplantation eines Schweratoms, beispielsweise Argon, Silizium oder Germanium, verwirklicht werden. Germanium ist aber zu bevorzugen, da es ein Schweratom ist, das niedrige Dosen zum Amorphisieren von Silizium benötigt, abrupte amorphe-kristalline Grenzflächen erzeugt und im Siliziumgitter isoelektronisch ist.
  • Insbesondere erstrecken sich – wie in 2 gezeigt – Oberflächenschichten 202a, 202b, 204a und 204b an der Seite des Gate-Poly 112, die zu amorphisieren sind, bis hin zu einem Isolationselement 102. Angenommen zum Beispiel, dass die erwünschte ultraflache Tiefe dieser zu amorphisierenden Schichten im Wesentlichen 30 nm beträgt (was weniger als 80 nm ist), dann ist eine Dosis von 2 × 1014 Atomen/cm2 erforderlich, um diese erwünschte Amorphisationstiefe von 30 nm zu erreichen. Ein 20 KeV Germanium-Implantat bei einer Dosis von 2 × 1014 Atomen/cm2 erreicht diese erwünschte Amorphisationstiefe von 30 nm der Schichten 202 und 204. Durch Verwenden bestehender Hochstrom-Implanter ist diese Implantationsbedingung mühelos erreichbar. Abhängig von der erwünschten amorphen Tiefe liegt der Bereich der Implantationsdosis aber zwischen 1 × 1013 Atomen/cm2 und 1 × 1016 Atomen/cm2, während der Bereich der Implantationsenergie zwischen 5 keV und 400 keV liegt.
  • Im nächsten Schritt des Verfahrens der vorliegenden Erfindung weisen die amorphisierten Schichten 202a und 204a eine p+ Dosis eines darin ionenimplantierten Dotierungsmaterials (z.B. Bor) auf und die Schichten 202b und 204b weisen eine n+ Dosis eines darin ionenimplantierten Dotierungsmaterials (z.B. Phosphor oder Arsen) auf. Eine typische Dosis für die leicht dosierten Extensionen liegt bei 5 × 1014 Atomen/cm2. Die Implantationsenergien für Bor, Phosphor und Arsen liegen typischerweise bei 250, 2.000 bzw. 5.000 Elektronenvolt. Allgemeiner können die Ionenimplantationsenergien zwischen 1013 und 1016 Atomen/cm2 liegen und die Implantationsenergien können zwischen 10 und 100.000 Elektronenvolt liegen.
  • Unter Bezug nun auf 2a wird das Problem der Schmelzgrenzwertverschiebungserhitzung beschrieben, zu dem es kommen würde, wenn die Oberfläche der Struktur von 2 entsprechend dem LTA-Prozess direkt bestrahlt werden würde. In 2a wird die Struktur von 2 in Strichlinien dargestellt. Die gesamte obere Fläche dieser Struktur wird durch im Wesentlichen gleichmäßige Hochleistungslaserstrahlung 206 geflutet. Die Anmelderin hat einen gepulsten XeCl-Excimerlaser verwendet, der bei einer Wellenlänge von 308 nm zum Erhalt einer Strahlung 206 arbeitet, wenngleich eine andere Art von Excimerlaser (z.B. ein 193 nm ArF-Laser, ein 248 nm KrF-Laser oder ein 351 nm XeF-Laser) stattdessen verwendet werden könnte. Während der Fluenzbereich für Laserbestrahlung von 0,05 Joule pro cm2 bis hin zu 1,0 Joule pro cm2 reicht, liegt die wahrscheinlichste Strahlungsfluenz, die ausreicht, um amorphisiertes Silizium auf seine Schmelztemperatur zu erhitzen, die aber nicht ausreicht, um kristallines Silizium auf seine Schmelztemperatur zu erhitzen, bei 0,6 Joule pro cm2.
  • Zu beachten ist, dass die Isolationselemente 102 aus SiO2 bestehen, das gegenüber einfallender Strahlung mit 308 nm im Wesentlichen transparent ist. Abhängig von der Oxiddicke wird das senkrecht einfallende Licht in dem unter dem Oxidisolationselement liegenden Silizium absorbiert oder wird von dem Isolationselement reflektiert. Dies wird in 2b graphisch gezeigt, die das Reflexionsvermögen einer oben auf dem Silizium sitzenden Siliziumoxidschicht als Funktion der Oxidschichtdicke zeigt. Licht, das nicht reflektiert wird, wird in dem darunter liegenden Silizium absorbiert. Da das Reflexionsvermögen des nicht beschichteten Siliziums gegenüber einfallender 308 nm Strahlung konstant bei 0,6 liegt, kann eine Oxidschicht der falschen Dicke die Absorption fast verdoppeln. In Fällen, da die SiO2-Isolationselemente 102 von optimaler Dicke für die Absorption sind, wird das darunter liegende Silizium durch die Hochleistungslaserstrahlung 206 stark erhitzt, die sich durch diese bewegt, und kann geschmolzen werden, was zu unerwünschten Änderungen der Isolationsstruktur führt. Die durchgehende Konturlinie in 2a umfasst (1) eine Komponente 208a dicker Konturlinie, die den relativ großen Betrag an Wärmeenergie darstellt, die in der oberen Fläche des Siliziums senkrecht zur direkt einfallenden Hochleistungslaserstrahlung 206 absorbiert wird, (2) eine Komponente 208b dicker Konturlinie, die den relativ großen Betrag an Wärmeenergie darstellt, die in den Flächen des Siliziums, die mit der Unterseite der SiO2-Isolationselemente 102 in Kontakt stehen, als Reaktion auf die darauf von den Isolationselementen optimaler Dicke zur Absorption übertragene Wärmeenergie absorbiert wird, und (3) eine Komponente 208c dünner Konturlinie, die den relativ vernachlässigbaren Betrag an Wärmeenergie darstellt, die in den Flächen des Siliziums absorbiert wird, die in etwa parallel zur einfallenden Strahlung sind.
  • Wenngleich die Höhe und Länge des Silizium-Gatters in engen Grenzen gehalten werden, sind große Schwankungen in der Dicke der SiO2-Isolationselemente 102 häufig und zu erwarten. Daher kann das Erhitzen der Siliziumoberfläche, die mit der Unterseite der SiO2-Isolationselmente 102 in Kontakt steht, nicht gesteuert werden. Zusätzliche Wärme im Isolationsbereich kann eine unerwünschte Diffusion der Dotierungsmaterialien in den Wannen verursachen und die zum Schmelzen der amorphisierten Bereiche der Quelle/Senke und des Gatters erforderliche Wärmeenergie senken. Der Grad der Grenzwertabsenkung hängt von der Nähe der Quellen-/Senken-Bereiche und der SiO2-Isolationselemente 102 und der Dicke der Oxidisolationselemente ab, was den Betrag der einfallenden 308 nm Strahlung bestimmt, die in dem in Kontakt mit den SiO2-Isolationselementen 102 stehenden Silizium absorbiert wird. Dies führt zu dem oben erwähnten Problem der Schmelzgrenzwert-Verschiebungserhitzung, bei dem die Bauelementgeometrie und die Verarbeitungsbedingungen den Betrag der zum Erzeugen der erwünschten Schmelztiefe an der Oberfläche der Struktur von 2 erforderlichen Wärmeenergie stark beeinflussen.
  • Die Lösung der Anmelderin bei dem oben erwähnten Problem der Schmelzgrenzwertverschiebungserhitzung besteht darin, die Oberflächenabsorption der Strahlung durch Bedecken der gesamten oberen Fläche der in 2 gezeigten Struktur mit einer abgeschiedenen undurchsichtigen Schicht aus einem Material, wie die meisten Metalle, Metalloxide, Metallnitride, Metallcarbide, Kohlenstoff, das die gesamte darauf fallende 308 nm Strahlung stark absorbieren kann und eine Schmelztemperatur aufweist, die höher als die von Silizium ist, gleichmäßig zu machen. In der in 3 gezeigten bevorzugten erfindungsgemäßen Ausführung umfasst die undurchsichtige Schicht des gewählten Materials Tantalnitrid aufgrund seines niedrigen Reflexionsvermögens, seiner hohen Absorption und Kompatibilität mit der Siliziumverarbeitung. Andere wünschenswerte Materialien für die undurchsichtige Schicht sind Wolfram und Tantal. Im Einzelnen sind in der in 3 gezeigten bevorzugten Ausführung die obere Fläche der SiO2-Isolationselemente 102 und die obere Fläche des amorphisierten Siliziums 200, 202a, 202b, 204a, 204b zunächst mit einer relativ dünnen Schicht 310 (15 nm) aus SiO2 bedeckt und dann ist diese dünne Schicht aus SiO2 mit einer relativ dicken Schicht 312 (30 nm) aus Tantalnitrid bedeckt. Allgemeiner kann die Dicke der Schicht aus SiO2 zwischen 5 nm und 50 nm liegen und die Dicke der Schicht aus Tantalnitrid ist vorzugsweise ausreichend, um die gesamte 308 nm Strahlung zu absorbieren, die darauf auftrifft, und kann zwischen 20 nm und 150 nm liegen. Im Allgemeinen sollte die Dicke einer abgeschiedenen undurchsichtigen Materialschicht mindestens ausreichend sein, um zu bewirken, dass dieses Material mehr als 50% der gesamten darauf fallenden Laserstrahlung der gewählten Wellenlänge absorbiert. Jedenfalls ergibt sich die Notwendigkeit, dass für die SiO2-Schicht, die zwischen dem amorphisiertem Silizium und der Tantalnitridschicht angeordnet ist, eine Verunreinigung des Siliziums verhindert wird, die sich ergeben würde, wenn Tantalnitrid in direktem Kontakt mit der Siliziumoberfläche stehen würde.
  • Wie bekannt ist, hat amorphes Silizium eine um einen Faktor 10 niedrigere Wärmeleitfähigkeit, eine um 300°C niedrigere Schmelztemperatur und ein um 30% niedrigeres Reflexionsvermögen als kristallines Silizium. Bezüglich 3a reicht eine Hochleistungslaserstrahlung 206, die auf die obere Fläche der stark absorbierenden Tantalnitridschicht 312 auftrifft, aus, um die Schicht 312 auf eine relativ hohe Temperatur zu erhitzen. Wenn die Hitze durch die dünne SiO2-Schicht 310 zur oberen Fläche des amorphisierten Siliziums 200, 202a, 202b, 204a, 204b geleitet wird, reicht diese aus, um das amorphisierte Silizium 200, 202a, 202b, 204a, 204b zu schmelzen, reicht aber nicht aus, um das unter dem amorphisiertem Silizium unter den Bereichen 202a, 202b, 204a und 204b in 3a liegende kristalline Silizium zu schmelzen.
  • SiO2 ist ein relativ schlechter Wärmeleiter und absorbiert die einfallende Strahlung nicht direkt. Daher wird, wie in 3a durch die dünne durchgehende Linie 308 gezeigt wird, das Silizium in direktem Kontakt mit den Seiten der Isolationselemente 102 infolge der Hochleistungslaserstrahlung 206, die auf die obere Fläche der Tantalnitridschicht 312 auftrifft, nur vernachlässigbar erhitzt.
  • Nachdem die geschmolzene amorphisierte Siliziumschicht abkühlen durfte, wodurch eine Rekristallisierung dieser Schicht bewirkt wird, wird die Tantalnitridschicht 312 abgelöst.
  • Die bisher beschriebenen Schritte des erfindungsgemäßen Herstellungsverfahrens, die den in den 3 und 3a gezeigten LTA-Prozess umfassen, werden zum Bilden der ultraflachen (vorzugsweise 30 nm tiefen) Übergänge der Extensionen 118 verwendet. Es können dann aber ähnliche Schritte zum Herstellen der tiefen Quellen- und Senken-Bereiche des in 1 gezeigten CMOS-FET verwendet werden. Im Einzelnen werden nach Herstellung der ultraflachen Übergänge der Extensionen 118 Seitenwandabstandselemente 116 hergestellt. Danach wird jeder der tiefen Quellen- und Senken-Bereiche, die sich zwischen jedem Seitenwandabstandselement 116 und einem Isolationselement 102 befinden, auf seine erwünschte Tiefe reamorphisiert, die tiefer als die oben beschriebene ursprüngliche Amorphisation davon ist. Dies kann mit Germaniumimplantationen höherer Energie (z.B. 40 KeV) und einer höheren Dosis (z.B. 6 × 1014 Atome/cm2) erreicht werden. In Anschluss an diese Reamorphisation wird eine weitere Dosis an Dotierungsmaterialien durch Ionenimplantation der tiefen Quelle und Senke zugegeben, um eine Gesamtdosis von 1 × 1015 Atomen/cm2 vorzusehen. Die Implantationsenergien für Bor, Phosphor und Arsen bleiben jeweils bei 250, 2.000 und 5.000 Elektronenvolt, da die endgültigen gefertigten Tiefen der tiefen Quellen- und Senken-Übergänge allein durch deren Amorphisationstiefen gesteuert werden. Der Amorphisationsschritt kann vor oder nach dem Schritt der Dotierungsmaterialimplantation erfolgen. Die letzten Schritte zum Herstellen der tiefen Quelle und des tiefen Übergangs umfassen wiederum das Verwenden des LTA-Prozesses, der sowohl das Abscheiden einer Tantalnitridschicht, deren obere Fläche einer 308 nm Strahlung ausgesetzt wird, die nur ein Schmelzen des amorphisierten Siliziums bewirkt, als auch danach das Ablösen der Tantalnitridschicht, um dadurch die Quellen- und Senken-Übergänge mit einer erwünschten Tiefe zu bilden, die allein durch die Tiefe des geschmolzenen Siliziums des gefertigten CMOS-FET bestimmt wird, umfasst. Während die erwünschte Tiefe bevorzugt bei 120 nm liegt, liegt der Bereich erwünschter Tiefen zwischen 80 nm und 200 nm.
  • Bei dem oben beschriebenen Verfahren der erfindungsgemäßen bevorzugten Ausführung beinhaltet die Herstellung der tiefen Quelle und Senke die Amorphisation der bereits hergestellten ultraflachen (d.h. unter 150 nm Tiefe) Übergänge, da diese bereits hergestellten ultraflachen Übergänge sich bis hin zu den Seitenwandabstandselementen 116 erstrecken. Es versteht sich aber, dass der Verlauf der ultraflachen Übergänge lediglich auf Stellen der Extensionen beschränkt werden kann und dass er daher nicht die Positionen der tiefen Quelle und Senke beinhaltet. In diesem Fall ist die Amorphisation für die Quellen- und Senken- Positionen unabhängig von der Amorphisation für die Extensionsstellen, so dass die Amorphisation für die Quellen- und Senken-Positionen entweder vor oder nach der Amorphisation für die Extensionsstellen erfolgen kann. Weiterhin kann die vorliegende Erfindung zum Herstellen nur der Extensionen eines MOSFET verwendet werden oder alternativ nur der tiefen Quelle und Senke eines MOSFET statt beider.
  • Verallgemeinert gewährleistet das Abscheiden einer absorbierenden Schicht auf die Siliziumscheibenoberfläche eine gleichmäßige Absorption der Laserstrahlung über der gesamten Scheibenoberfläche. Dies beseitigt die Unberechenbarkeit, die erfolgen würde, wenn die Absorption der Laserstrahlung in Isolationselementen eintreten würde. Ferner wird die Wärmequelle zur Scheibenoberfläche bewegt und somit erfolgt keine Wärmestau aufgrund von Wärmeabsorption in dem Silizium neben den Isolationselementen. Daher macht die vorliegende Erfindung den LTA-Prozess bei der Herstellung mehrerer isolierter MOSFETS mit sehr flachem Übergang auf einer ausgewählten Fläche auf einer Siliziumscheibe praktikabel.

Claims (15)

  1. Verfahren zum Herstellen mindestens bestimmter Teile von Quellen- und Senken-Übergängen jedes von mehreren beabstandeten MOSFETs auf einer ausgewählten Fläche einer Oberflächenschicht eines kristallinen Siliziumsubstrats, wobei jeder der beabstandeten MOSFETs seinen eigenen individuell ausgewählten einen von n- und p-Kanälen aufweist, wobei die ausgewählte Fläche ein Isolationselement aufweist, das aus einem ersten ausgewählten Material besteht, das im Wesentlichen gegenüber Laserstrahlung ausgewählter Wellenlänge transparent ist, und das zwischen dem kristallinen Siliziummaterial benachbarter der mehreren gerade hergestellten MOSFETs angeordnet ist, und wobei das Verfahren folgende Schritte umfasst: (a) Amorphisieren einer Oberflächenschicht auf eine ausgewählte Tiefe des kristallinen Siliziummaterials der mehreren gerade hergestellten MOSFETs; (b) gezieltes Ionenimplantieren einer ausgewählten Dosis von Dotierungsmaterialien der geeigneten n- oder p-Spezies für diesen individuellen MOSFET in der amorphisierten Siliziumoberflächenschicht, die jedem individuellen MOSFET der mehreren beabstandeten gerade hergestellten MOSFETs entspricht; (c) Abscheiden mindestens einer Schicht aus einem zweiten ausgewählten Material einer zweiten ausgewählten Dicke über der gesamten ausgewählten Fläche der Oberflächenschicht des Substrats, wobei die Schicht des zweiten ausgewählten Materials (1) die darauf fallende Laserstrahlung ausgewählter Wellenlänge absorbiert, (2) eine Schmelztemperatur über der von kristallinem Silizium aufweist; und (d) dann Fluten der gesamten ausgewählten Fläche der Oberfläche der Schicht des zweiten ausgewählten Materials mit einem ausgewählten Wert einer Laserstrahlung der ausgewählten Wellenlänge von im Wesentlichen gleichmäßiger Energie, wobei der ausgewählte Wert solcher Art ist, dass er dazu führt, dass das unter der ausgewählten Fläche liegende Silizium auf eine Temperatur erhitzt wird, die zum Schmelzen amorphisierten Siliziums ausreicht, aber nicht zum Schmelzen des unter dem amorphisierten Silizium liegenden kristallinen Siliziums ausreicht; wobei nach Abkühlen der Oberflächenschicht von Schritt (a), die in Schritt (d) geschmolzen ist, diese rekristallisiert.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass Schritt (c) den Schritt (e) des Abscheidens einer Schicht aus einem dritten ausgewählten Material einer dritten ausgewählten Dicke vor dem Abscheiden des zweiten ausgewählten Materials umfasst, wobei das dritte ausgewählte Material Eigenschaften aufweist, die ein Verunreinigen der amorphisierten Siliziumoberflächenschicht durch direkten Kontakt mit dem zweiten ausgewählten Material verhindern.
  3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass jedes von erstem und drittem ausgewählten Material SiO2 umfasst und das zweite ausgewählte Material Tantalnitrid umfasst.
  4. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass die ausgewählte Wellenlänge der Laserstrahlung bei 308 nm liegt.
  5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass die zweite Dicke des zweiten ausgewählten Tantalnitridmaterials in dem Bereich von 20 nm bis 150 nm liegt und die vierte Dicke des dritten ausgewählten SiO2-Materials in dem Bereich von 5 nm bis 50 nm liegt.
  6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, dass die zweite Dicke im Wesentlichen 30 nm und die vierte Dicke im Wesentlichen 15 nm beträgt.
  7. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass jedes von erstem und drittem ausgewählten Material SiO2 umfasst und das zweite ausgewählte Material ein aus einem Metall, Metalloxid, Metallnitrid, Metallcarbid, Kohlenstoff, Wolfram oder Tantal ausgewähltes Material umfasst.
  8. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die zweite ausgewählte Dicke ausreicht, um ein Absorbieren von mehr als 50% der darauf fallenden gesamten Laserstrahlung ausgewählter Wellenlänge durch das zweite ausgewählte Material zu bewirken.
  9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass jeder der MOSFETs einen Gatter-Teil aufweist; und dass bestimmte Teile der Quellen- und Senken-Übergänge eines MOSFET Extensionsteile der Quellen- und Senken-Übergänge mit einer Tiefe unter 150 nm umfassen, die in anliegender Beziehung zu dem Gatter-Teil jenes MOSFET räumlich angeordnet sind.
  10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass die bestimmten Teile der Quellen- und Senken-Übergänge eines MOSFET 10 weiterhin verbleibende Teile mit einer Tiefe in dem Bereich von 80 nm bis 200 nm umfassen.
  11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die verbleibenden Teile der Quellen- und Senken-Übergänge eine Tiefe 15 von im Wesentlichen 120 nm aufweisen.
  12. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass gewisse Teile der Quellen- und Senken-Übergänge eines MOSFET bestimmte Teile der Quellen- und Senken-Übergänge mit einer Tiefe in dem Bereich von 80 nm bis 200 nm umfassen.
  13. Verfahren nach Anspruch 12, dadurch gekennzeichnet, dass die bestimmten Teile der Quellen- und Senken-Übergänge eine Tiefe von im Wesentlichen 120 nm aufweisen.
  14. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass das Verfahren den weiteren Schritt des (f) Ablösens der abgeschiedenen Schicht des zweiten ausgewählten Materials nach Rekristallisieren des geschmolzenen Siliziums umfasst.
  15. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass benachbarte der mehreren gerade hergestellten MOSFETs ein Paar CMOS-Feldeffekttransistoren umfassen.
DE69837054T 1998-08-27 1998-11-25 GASIMMERSIONSLASER-ERHITZUNGSMETHODE GEEIGNET ZUR HERSTELLUNG INTEGRIERTER SCHALTUNGEN VON REDUZIERTER GRÖßE Expired - Lifetime DE69837054T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/141,842 US5956603A (en) 1998-08-27 1998-08-27 Gas immersion laser annealing method suitable for use in the fabrication of reduced-dimension integrated circuits
US141842 1998-08-27
PCT/US1998/025264 WO2000013213A1 (en) 1998-08-27 1998-11-25 Gas immersion laser annealing method suitable for use in the fabrication of reduced-dimension integrated circuits

Publications (2)

Publication Number Publication Date
DE69837054D1 DE69837054D1 (de) 2007-03-22
DE69837054T2 true DE69837054T2 (de) 2007-06-06

Family

ID=22497510

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69837054T Expired - Lifetime DE69837054T2 (de) 1998-08-27 1998-11-25 GASIMMERSIONSLASER-ERHITZUNGSMETHODE GEEIGNET ZUR HERSTELLUNG INTEGRIERTER SCHALTUNGEN VON REDUZIERTER GRÖßE

Country Status (7)

Country Link
US (1) US5956603A (de)
EP (1) EP1121713B1 (de)
JP (1) JP4295922B2 (de)
KR (1) KR100582484B1 (de)
DE (1) DE69837054T2 (de)
TW (1) TW409293B (de)
WO (1) WO2000013213A1 (de)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521501B1 (en) * 1999-05-11 2003-02-18 Advanced Micro Devices, Inc. Method of forming a CMOS transistor having ultra shallow source and drain regions
US6586318B1 (en) * 1999-12-28 2003-07-01 Xerox Corporation Thin phosphorus nitride film as an N-type doping source used in laser doping technology
EP1139409A3 (de) * 2000-02-29 2003-01-02 Agere Systems Guardian Corporation Selektives Laser-Anneal eines Halbleitermaterials
US6645838B1 (en) * 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
US6570656B1 (en) 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6635588B1 (en) * 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
JP4389359B2 (ja) * 2000-06-23 2009-12-24 日本電気株式会社 薄膜トランジスタ及びその製造方法
US6335253B1 (en) 2000-07-12 2002-01-01 Chartered Semiconductor Manufacturing Ltd. Method to form MOS transistors with shallow junctions using laser annealing
JP2002050764A (ja) * 2000-08-02 2002-02-15 Matsushita Electric Ind Co Ltd 薄膜トランジスタ、アレイ基板、液晶表示装置、有機el表示装置およびその製造方法
US6391695B1 (en) * 2000-08-07 2002-05-21 Advanced Micro Devices, Inc. Double-gate transistor formed in a thermal process
US6635541B1 (en) * 2000-09-11 2003-10-21 Ultratech Stepper, Inc. Method for annealing using partial absorber layer exposed to radiant energy and article made with partial absorber layer
US6479821B1 (en) * 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US6730583B2 (en) * 2000-10-26 2004-05-04 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
JP4845299B2 (ja) 2001-03-09 2011-12-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US6720241B2 (en) * 2001-06-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Method for manufacturing semiconductor device
JP4209606B2 (ja) * 2001-08-17 2009-01-14 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI282126B (en) * 2001-08-30 2007-06-01 Semiconductor Energy Lab Method for manufacturing semiconductor device
US7317205B2 (en) * 2001-09-10 2008-01-08 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing a semiconductor device
US7112517B2 (en) 2001-09-10 2006-09-26 Semiconductor Energy Laboratory Co., Ltd. Laser treatment device, laser treatment method, and semiconductor device fabrication method
US6734081B1 (en) * 2001-10-24 2004-05-11 Lsi Logic Corporation Shallow trench isolation structure for laser thermal processing
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
US7135423B2 (en) 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
US6803270B2 (en) * 2003-02-21 2004-10-12 International Business Machines Corporation CMOS performance enhancement using localized voids and extended defects
JP4589606B2 (ja) 2003-06-02 2010-12-01 住友重機械工業株式会社 半導体装置の製造方法
JP2005101196A (ja) * 2003-09-24 2005-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US6897118B1 (en) * 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US7145104B2 (en) * 2004-02-26 2006-12-05 Ultratech, Inc. Silicon layer for uniformizing temperature during photo-annealing
US7622374B2 (en) * 2005-12-29 2009-11-24 Infineon Technologies Ag Method of fabricating an integrated circuit
KR101323222B1 (ko) * 2006-03-08 2013-10-30 어플라이드 머티어리얼스, 인코포레이티드 기판상에 형성되는 구조체의 열적 프로세싱을 위한 장치 및 방법
US20070221640A1 (en) * 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080045041A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Liquid Immersion Laser Spike Anneal
US7745909B2 (en) * 2007-02-26 2010-06-29 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US7679166B2 (en) * 2007-02-26 2010-03-16 International Business Machines Corporation Localized temperature control during rapid thermal anneal
US7759773B2 (en) * 2007-02-26 2010-07-20 International Business Machines Corporation Semiconductor wafer structure with balanced reflectance and absorption characteristics for rapid thermal anneal uniformity
US20090096066A1 (en) * 2007-10-10 2009-04-16 Anderson Brent A Structure and Method for Device-Specific Fill for Improved Anneal Uniformity
US7692275B2 (en) * 2007-02-26 2010-04-06 International Business Machines Corporation Structure and method for device-specific fill for improved anneal uniformity
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20110185971A1 (en) * 2009-11-30 2011-08-04 Uvtech Systems, Inc. Laser doping
US8021950B1 (en) 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US10436991B2 (en) 2017-05-19 2019-10-08 Adolite Inc. Optical interconnect modules based on glass substrate with polymer waveguide

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5669837A (en) * 1979-11-12 1981-06-11 Fujitsu Ltd Manufacture of semiconductor device
US4371421A (en) * 1981-04-16 1983-02-01 Massachusetts Institute Of Technology Lateral epitaxial growth by seeded solidification
JPS5856409A (ja) * 1981-09-30 1983-04-04 Toshiba Corp 半導体装置の製造方法
JPS59115574A (ja) * 1982-12-23 1984-07-04 Semiconductor Energy Lab Co Ltd 光電変換装置作製方法
DE3587100T2 (de) * 1984-10-09 1993-09-09 Fujitsu Ltd Verfahren zur herstellung einer auf der halbleiter-auf-isolator-technologie basierenden integrierten schaltung.
US4659392A (en) * 1985-03-21 1987-04-21 Hughes Aircraft Company Selective area double epitaxial process for fabricating silicon-on-insulator structures for use with MOS devices and integrated circuits
JPH0793258B2 (ja) * 1985-12-04 1995-10-09 富士通株式会社 導電体膜の再結晶化方法
US4753895A (en) * 1987-02-24 1988-06-28 Hughes Aircraft Company Method of forming low leakage CMOS device on insulating substrate
US5087576A (en) * 1987-10-26 1992-02-11 North Carolina State University Implantation and electrical activation of dopants into monocrystalline silicon carbide
US5318915A (en) * 1993-01-25 1994-06-07 North Carolina State University At Raleigh Method for forming a p-n junction in silicon carbide
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5918915A (en) * 1997-11-03 1999-07-06 Calteux; Kenneth J. Sliding door lock

Also Published As

Publication number Publication date
TW409293B (en) 2000-10-21
JP2002524846A (ja) 2002-08-06
EP1121713B1 (de) 2007-02-07
KR100582484B1 (ko) 2006-05-24
KR20010074629A (ko) 2001-08-04
US5956603A (en) 1999-09-21
WO2000013213A1 (en) 2000-03-09
JP4295922B2 (ja) 2009-07-15
EP1121713A1 (de) 2001-08-08
DE69837054D1 (de) 2007-03-22
EP1121713A4 (de) 2003-07-16

Similar Documents

Publication Publication Date Title
DE69837054T2 (de) GASIMMERSIONSLASER-ERHITZUNGSMETHODE GEEIGNET ZUR HERSTELLUNG INTEGRIERTER SCHALTUNGEN VON REDUZIERTER GRÖßE
DE69837657T2 (de) Herstellung einer silizid-region auf einem siliziumkörper
DE69730019T2 (de) Kontrolle der p-n-übergangstiefe und kanallänge durch erzeugung von die dotierstoffdiffusion hemmenden zwischengitterstellen-gradienten
DE4406849C2 (de) Verfahren zur Herstellung eines MOS-Transistors mit einem einen flachen Übergang aufweisenden Source/Drain-Bereich und einer Silicidschicht
DE102006019935B4 (de) SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE2652253C2 (de) Verfahren zur Steuerung der seitlichen Breite eines Dotierungsprofils in einem Halbleiterkörper eines Halbleiterbauelementes
DE102006025408B4 (de) Verfahren zur Steigerung des Transistorsleitungsvermögens durch Dotierstoffaktivierung nach der Silizidierung
DE3019850C2 (de)
DE4437068C2 (de) Dünnfilmtransistor und Verfahren zu seiner Herstellung
DE102006046376B4 (de) Verfahren zur Herstellung von Feldeffekttransistoren mit Technik zum lokalen Anpassen von Transistoreigenschaften durch Verwenden fortschrittlicher Laser/Blitzlichtausheizverfahren geeignet auch für die Herstellung von Transistorelementen von SRAM-Zellen
DE102006046363B4 (de) Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE102006019921A1 (de) Transistor mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode und ein Verfahren zur Herstellung des Transistors
DE3043913A1 (de) Halbleiteranordnung und verfahren zu ihrer herstellung
DE102006015086B4 (de) Verfahren zur Herstellung äußerst flacher Übergänge mit hoher Qualität durch eine Kombination einer Festphasenepitaxie und einer Laserausheizung
DE2425185A1 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE4420052A1 (de) Verfahren zur Herstellung eines Gates in einer Halbleitereinrichtung
DE10250888B4 (de) Halbleiterelement mit verbesserten Dotierprofilen und ein Verfahren zur Herstellung der Dotierprofile eines Halbleiterelements
DE102004031743B4 (de) Verfahren zur Herstellung einer Epitaxieschicht für erhöhte Drain-und Sourcegebiete durch Entfernen von Oberflächendefekten der anfänglichen Kristalloberfläche
DE10250611B4 (de) Verfahren zur Herstellung eines Metallsilizidgebietes in einem dotierten Silizium enthaltenden Halbleiterbereich
DE102007022533A1 (de) Verfahren zum Herstellen eines Halbleiterelements und Halbleiterelement
DE102007020261B4 (de) Verfahren zur Erhöhung der Dotierstoffaktivierung unter Anwendung mehrerer sequenzieller fortschrittlicher Laser/Blitzlicht-Ausheizprozesse
DE102007019551B4 (de) Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102018219323B4 (de) Verfahren zur Herstellung von Halbleiterbauelementen mit auf Basis von abgeschiedenem amorphen Halbleitermaterial hergestelltem kristallinen Halbleitermaterial
DE102008056195B4 (de) Verfahren zum Herstellen einer Epitaxieschicht und Verfahren zum Herstellen eines Halbleiterbeuelements
DE102007020260B4 (de) Verfahren zum Verbessern der Transistoreigenschaften von Feldeffekttransistoren durch eine späte tiefe Implantation in Verbindung mit einem diffusionsfreien Ausheizprozess

Legal Events

Date Code Title Description
8364 No opposition during term of opposition