DE60313861T2 - Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung - Google Patents

Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung Download PDF

Info

Publication number
DE60313861T2
DE60313861T2 DE60313861T DE60313861T DE60313861T2 DE 60313861 T2 DE60313861 T2 DE 60313861T2 DE 60313861 T DE60313861 T DE 60313861T DE 60313861 T DE60313861 T DE 60313861T DE 60313861 T2 DE60313861 T2 DE 60313861T2
Authority
DE
Germany
Prior art keywords
temperature
wafer
holding device
outer target
temperatures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60313861T
Other languages
English (en)
Other versions
DE60313861D1 (de
Inventor
Tom A. San Jose KAMP
Richard Pleasanton GOTTSCHO
Steve Fremont LEE
Chris Oakland LEE
Yoko Fremont YAMAGUCHI
Vahid Albany VAHEDI
Aaron Fremont EPPLER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE60313861D1 publication Critical patent/DE60313861D1/de
Application granted granted Critical
Publication of DE60313861T2 publication Critical patent/DE60313861T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitern. Insbesondere betrifft die Erfindung Plasma-Ätzen und -Niederschlagen.
  • HINTERGRUND DER ERFINDUNG
  • Bei der Herstellung von integrierten Schaltkreisen können Halbleiter-Wafer gänzlich mit einer oder mehreren Schichten von Materialien wie Siliziumdioxid, Siliziumnnitrid, oder einem Metall, siehe US 5 989 929 A , beschichtet werden. Das unerwünschte Material wird dann selektiv durch ein oder mehrere Ätzverfahren, beispielsweise durch Ätzen durch eine Maske hindurch entfernt. Gelegentlich werden verschiedene Muster direkt in die Halbleiterfläche eingeätzt. Kreisförmige Löcher oder Schlitze können angebracht werden, wo Grabenkondensatoren zu bilden sind. Die meisten Ätzungen von integrierten Schaltkreisen entfernen Material nur in ausgewählten Gebieten und wird durchgeführt während einer Reihenfolge verwandter Bearbeitungsschritte. Ein Halbleiterwafer wird zunächst mit einem anhaftenden und ätzsicheren Fotolack beschichtet. Der Fotolack wird alsdann selektiv entfernt, um ein gewünschtes Muster zu hinterlassen. Dann wird ein Ätzen vorgenommen, um das Maskenmuster auf das darunterliegende Material zu übertragen. Der Fotolack wird dann entfernt (abgestreift), und der Wafer gereinigt.
  • Mögliche Ätzverfahren schließen nasschemisches, elektrochemisches, Plasma- und Reaktiv-Ionen Ätzen, Ionenstrahlfräsen, Sputtern, und Hochtemperatur-Dampfätzen ein. Plasma-Ätzen wird heutzutage üblicherweise in feingeometrischen Anwendungen wie bei der Herstellung von Halbleiter-Speichervorrichtungen verwendet. Bei zunehmender Integrationsdichte der integrierten Schaltkreise ist es wünschenswert, die Steuerbarkeit derartiger Ätzverfahren zur Ausbildung von spezifischen Formen geätzter Merkmale wie tiefer Gräben, Kontaktlöcher und Öffnungen auf einem Halbleiterwafer zu verbessern.
  • Aus der US 4,971,653 ist der Einsatz einer Temperaturregelung bekannt, die zum Zwecke der Regelung der Wärmezufuhr elektrische Aufheizvorrichtungen zusammen mit einem oder mehreren Temperatursensoren in der Substrat-Haltevorrichtung verwendet.
  • KURZE BESCHREIBUNG DER ERFINDUNG
  • Eine Ätzanlage für das Ätzen eines Wafers schließt eine Haltevorrichtung zum Festhalten des Wafers, und einen Temperatursensor zum Melden der Wafer-Temperatur ein. Die Haltevorrichtung schließt eine von einem Temperaturregelungssystem gesteuerte Heizung ein. Der Temperatursensor ist operativ mit dem Temperaturregelungssystem gekoppelt, um die Temperatur der Haltevorrichtung auf einer wählbaren Soll-Temperatur zu halten. Es werden eine erste Soll-Temperatur und eine zweite Soll-Temperatur gewählt. Der Wafer wird auf die Haltevorrichtung platziert und auf die erste Soll-Temperatur eingestellt. Der Wafer wird sodann während einer ersten Zeitdauer bei der ersten Soll-Temperatur und während einer zweiten Zeitdauer bei der zweiten Soll-Temperatur bearbeitet.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die beiliegenden Zeichnungen, die in diese Spezifikation eingebaut und einen Teil derselben sind, schildern eine oder mehrere Ausführungen der vorliegenden Erfindung, und dienen samt der eingehenden Beschreibung dazu, die Begriffe und Ausgestaltungen der Erfindung darzustellen.
  • In den Zeichnungen:
  • 1 ist ein Blockdiagramm einer Ätzanlage zur Ausführung des Ätzverfahrens nach einer spezifischen Ausführung der vorliegenden Erfindung.
  • 2 ist ein Blockdiagramm einer Ätzanlage zur Ausführung des Ätzverfahrens nach einer anderen spezifischen Ausführung der vorliegenden Erfindung.
  • 3 ist ein Blockdiagramm einer Haltevorrichtung zur Ausführung des Ätzverfahrens nach einer spezifischen Ausführung der vorliegenden Erfindung.
  • 4A ist ein Ablaufdiagramm, das ein Verfahren zum Ätzen eines Wafers nach einer spezifischen Ausführung der vorliegenden Erfindung schildert.
  • 4B ist ein Ablaufdiagramm, das ein Verfahren zum Ätzen eines Wafers nach einer anderen spezifischen Ausführung der vorliegenden Erfindung schildert.
  • 4C ist ein Ablaufdiagramm, das ein Verfahren zum Ätzen eines Wafers nach einer weiteren spezifischen Ausführung der vorliegenden Erfindung schildert.
  • 5 ist ein Diagramm der Temperaturänderung eines Wafers während einer Ätz-Bearbeitung nach einer spezifischen Ausführung der vorliegenden Erfindung.
  • 6A ist ein Querschnittdiagramm eines konventionellen Grabens in einem geätzten Wafer.
  • 6B ist ein Querschnittdiagramm eines Grabens in einem nach einer spezifischen Ausführung der vorliegenden Erfindung geätzten Wafer.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die Ausführungen der vorliegenden Erfindung sind hier im Rahmen veränderlicher Temperaturverfahren für einstellbare elektrostatische Haltevorrichtungen beschrieben. Fachleute mit gewöhnlichen Fähigkeiten in der Technik werden einsehen, dass die nachfolgende Detailbeschreibung der vorliegenden Erfindung lediglich Darstellungszwecken dient und keineswegs als einschränkend gilt. Weitere Ausführungen der vorliegenden Erfindung werden sich derart fähigen Fachleuten, die diese Darlegung nutzen, leicht offenbaren. Es soll nun im Einzelnen auf Ausführungen Bezug genommen werden, wie sie in den beiliegenden Zeichnungen geschildert werden. Dieselben Bezugszeichen sollen in sämtlichen Zeichnungen verwendet, und in der folgenden Detailbeschreibung zum Hinweis auf dieselben bzw. ähnlichen Einzelteilen genutzt werden.
  • Im Interesse der Übersichtlichkeit werden nicht alle gewöhnlichen Merkmale der hier beschriebenen Ausführungen gezeigt und angeführt werden. Es ist natürlich einzusehen, dass bei der Bearbeitung einer beliebigen tatsächlichen Ausführung zahlreiche speziell auf diese Ausführung bezogene Entscheidungen zu treffen sind, die für die Erzielung der spezifischen Ziele des Entwicklers gefordert werden, wie beispielsweise die Einhaltung von anwendungs- und gebrauchstechnischen Einschränkungen, und dass diese spezifischen Ziele von einer Ausführung zur anderen und von einem Entwickler zum anderen schwanken werden. Es ist einzusehen, dass ein derartiger Bearbeitungseinsatz zwar komplex und zeitraubend, allenfalls aber ein technisches Routine-Unternehmen für die mit dieser Offenbarung vertrauten Fachleute mit gewöhnlichen Fähigkeiten in der Technik darstellen wird.
  • Es ist bei der Herstellung von Halbleitern überwiegend üblich, Stapel dünner Filme zu verwenden. Ein typischer Halbleiter-Gatterstapel für die Herstellung von DRAMs wird beispielsweise aus Si-Gatteroxid/Poly-Si/Silizid/Hartmasken/ARC bestehen, wobei das Silizid typischerweise eine WSix-Verbindung ist, das ARC organisch oder inorganisch (SiONx) und eine Hartmaske ein Oxyd, Nitrid oder Oxynitrid von Si sein kann. Ein weiterer solcher Stapel könnte aus Si/Gatter-Dielektrikum/Poly-Si/WN/W/Hardmasken/ARC bestehen, wobei das Gatterdielektrikum SiO2, nitriertes SiO2, oder ein beliebiges der sogenannten k-hochhaltigen Materialien wie HfO2, YrO2, oder Al2O3 sein kann. Es ist in vielen Fällen üblich, eine Fotolackmaske anstatt oder zusätzlich (neben) einer ARC-Schicht zu verwenden. Gelegentlich wird die ARC-Schicht dort entfernt, wo eine Hartmaske durch den Einsatz einer Fotolackmaske bestimmt wird. Es gibt zahlreiche Varianten dieser Stapel zur Definition von Gattern allein. Ähnlich große Schwankungen treten beim Bestimmen von Gräben für eine Isolierung, Lagerung, Zwischenverbindung und beim Bestimmen von Kontakten und Durchgangslöchern für das Untereinander-Verbinden von einer Schicht mit einer anderen auf.
  • Es ist bei der Herstellung von Halbleitern überwiegend üblich, Stapel dünner Filme zu verwenden. Ein typischer Halbleiter-Gatterstapel für die Herstellung von DRAMs wird beispielsweise aus Si-Gatteroxid/Poly-Si/Silizid/Hartmasken/ARC bestehen, wobei das Silizid typischerweise eine WSix-Verbindung ist, das ARC organisch oder inorganisch (SiONx) und eine Hartmaske ein Oxyd, Nitrid oder Oxynitrid von Si sein kann. Ein weiterer solcher Stapel könnte aus Si/Gatter-Dielektrikum/Poly-Si/WN/W/Hardmasken/ARC bestehen, wobei das Gatterdielektrikum SiO2, nitriertes SiO2, oder ein beliebiges der sogenannten k-hochhaltigen Materialien wie HfO2, YrO2, oder Al2O3 sein kann. Es ist in vielen Fallen üblich, eine lichtempfindliche Abdeckermaske anstatt oder zusätzlich (neben) einer ARC-Schicht zu verwenden. Gelegentlich wird die ARC-Schicht dort entfernt, wo eine Hartmaske durch den Einsatz einer lichtempfindlichen Abdeckermaske bestimmt wird. Es gibt zahlreiche Varianten dieser Stapel zum Bestimmen von Gattern allein. Ähnlich große Änderungen treten beim Bestimmen von Gräben für eine Isolierung, Lagerung, Zwischenverbindung und für den Entwurf von Kontakten und Zugangswegen für die Untereinander-Verbindung von Schichten auf.
  • Beim Ätzen derart komplizierter Stapel ist es wichtig, die Materialien selektiv zu ätzen und im Stapel dabei durchgehend eng vorgeschriebene Profile oder Formen einzuhalten.
  • Beim Gatterätzen ist es beispielweise für die Gatterspezifikation typisch, dem Gatterdielektrikum senkrecht bzw. in einem 90-Grad Winkel bei hoher Selektivität gegenüberzustehen, sodass es weder beschädigt noch geätzt werden kann. Beim Ätzen wenig tiefer Gräben muss der Winkel der Grabenseitenwand sorgfältig geprüft werden. Der Winkel der Grabenseitenwand könnte typisch bis zu 10–15 Graden von der Senkrechten abweichen. Beim Ätzen wenig tiefer Gräben könnte die Form des Ober- und Unterteils des Grabens – die sogenannte Ober- und Unterteilkanten-Rundung – ein kritischer Bauteilparameter sein. In vielen Bauteilentwürfen könnte das Poly-Si doppelt-dotiert sein, d.h. es könnte im Chip Gebiete geben, die ein n-dotiertes Poly-Si, und andere die ein p-dotiertes Poly-Si verwenden. Es ist in solchen Fällen wichtig, die Ätzgeschwindigkeit und das Profil für beide Arten von dotiertem Poly-Si konstant zu halten. Eine weitere Veränderliche im Chip ist die Liniendichte: gewisse Gebiete haben dicht aneinander liegende Linien und andere mehr isolierte Linien. Hier ist es wiederum wichtig, dass die Ätzgeschwindigkeiten und Profile zwischen dichten und isolierten Gebieten so weit als möglich identisch bleiben.
  • Beim Ätzen dünner Filme für die Herstellung von Halbleiterbauteilen ist es üblich, großen Flächenvariationen des Maskenmaterials, sei es eines harten oder eines weichen Maskenmaterials zu begegnen. Derartige Variationen wirken sich in einer Änderung der geätzten Film-Merkmale wie Profilwinkel, Selektivität gegenüber darunterliegendem Material, und Gleichförmigkeit innerhalb des Wafers aus. Es ist üblich, die Änderungen in einer offenen Fläche durch eine Änderung der Entwurfsparameter wie Gaszusammensetzung, Gesamtdurchflussmenge, Rf-Leistung usw. zu kompensieren.
  • In jedem der oben beschriebenen Fälle ist die Wafertemperatur ein wichtiger Rezeptparameter. Ätz- und Niederschlags-Geschwindigkeiten sind temperaturabhängig, diese Temperaturabhängigkeiten sind jedoch nicht immer dieselben. Es ist daher möglich, die Iso-dichten Profile und die Ätzgeschwindigkeitsunterschiede durch eine Anpassung der allgemeinen Wafertemperatur auf einem Minimum zu halten. Es ist gleichermaßen möglich, die Abhängigkeiten von offenen Oberflächen, die Selektivität eines Films einem anderen gegenüber und die Dotierungsabhängigkeiten durch eine Änderung der allgemeinen Wafertemperatur zu kompensieren. Nach einer spezifischen Ausführung der vorliegenden Erfindung besteht ein Verfahren zum Regulieren eines Waferprofils darin, die Wafertemperatur während des Ätzens komplexer Stapel stufenweise zu regulieren, um dadurch das Profil, die kritische Größe und Selektivität zu optimieren. Um derartige Temperaturänderungen praktisch zu bewerkstelligen, kann eine schnell reagierende Substrat-Haltevorrichtung, beispielsweise eine elektrostatische Haltevorrichtung verwendet werden, um einer Verringerung des Durchsatzes vorzubeugen. Nach einer Ausführung mag die Temperatur keinen konstanten Stand aufweisen, aber Bearbeiten während die Temperatur sich von einem Zustand zu einem anderen ändert kann einen ähnlichen Vorteil ergeben. Die Wafertemperatur kann durch eine beliebige Vorrichtung reguliert werden, welche die Temperatur binnen einer gegenüber der Ätzdauer kurzen Zeitspanne ändern kann. Ein Beispiel ist die Regulierung des Heliumdruckes zwischen dem bearbeiteten Wafer und einer elektrostatischen Haltevorrichtung (ESC). Ein weiteres Beispiel ist die Möglichkeit, Heizungen im ESC selbst unterzubringen und die Wafertemperatur mittels der Heizungen aktiv zu steuern. Das Temperaturregelungsverfahren des Wafers wird weiter unten eingehender erörtert. Die 1 und 2 illustrieren zwei Beispiele von Ätzvorrichtungen, die für die Ausführung einer Ätzbearbeitung verwendet werden.
  • 1 ist ein Blockdiagramm einer Ätzanlage. Das illustrierte induktiv-gekoppelte Plasma-Ätzsystem 100 kann bei der Bearbeitung und Herstellung von Halbleiterbauteilen verwendet werden. Das induktive Plasmabearbeitungssystem 100 schließt einen Plasmareaktor 102 ein, der in seinem Inneren eine Plasmakammer 104 aufweist. Eine mit einem Wandler gekoppelte Leistungssteuerung 106 (TOP) und eine Vorspannungs-Leistungssteuerung 108 steuern jeweils eine TCP-Leistungsversorgung 110 und eine Vorspannungsversorgung 112, die das in der Plasmakammer 104 erzeugte Plasma beeinflussen.
  • Die TCP-Leistungssteuerung 106 stellt einen Sollwert für die TCP-Versorgung 110 ein, die für die Speisung eines über ein TOP-Anpassungsnetzwerk 114 abgestimmten Radio-Frequenzsignals (RF) an eine in der Nähe einer Plasmakammer 104 gelegene TCP-Spule 116 konfiguriert ist. Ein durchsichtiges RE-Schauglas ist typischerweise vorgesehen, um die TCP-Spule 116 von der Plasmakammer 104 zu trennen, während Energie von der TOP-Spule 116 zur Plasmakammer 106 durchfließen kann.
  • Die Vorspannungs-Leistungssteuerung 108 stellt einen Sollwert für die Vorspannungs-Leistungsversorgung 112 ein, die für die Speisung eines über ein Vorspannungs-Anpassungsnetzwerk 120 abgestimmten RF-Signals an eine im Inneren des Plasmareaktors befindlichen Elektrode 11 konfiguriert ist, und erzeugt damit eine Gleichstrom (DC)-Vorspannung oberhalb der Elektrode 122, die für die Aufnahme eines Substrats, wie beispielsweise ein zu bearbeitender Halbleiterwafer, angepasst ist.
  • Ein Gas-Speisungsmechanismus 126, wie ein Kollektor für stromaufwärts gelegene Massenfluss-Steuerungen, speist typischerweise aus einer Gasquelle 127 die für das Herstellungsverfahren erforderliche richtige chemische Zusammensetzung an das Innere des Plasmareaktors 104. Ein Abluftkollektor 128 entfernt das Gas und gewisse Teilchen aus dem Inneren der Plasmakammer 104. Der Druck in der Plasmakammer 104 wird durch ein Drosselventil 130, oftmals einer pendelnden Art, aufrechterhalten.
  • Eine Temperaturregelung 134 regelt die Temperatur der Haltevorrichtung 122 mittels der Heizleistungsversorgung 135. Die Merkmale der Heizungen sind weiter unten beschrieben. Die 1 illustriert ein Heizungssteuersystem mit einem geschlossenen Regelsystem.
  • In der Plasmakammer 104 wird ein Substratätzen dadurch erzielt, dass das Substrat 104 in einem Vakuum ionisierten Gasgemischen (Plasma) ausgesetzt wird. Die Ätzbearbeitung beginnt, sobald die Gase in die Plasmakammer 104 eingeführt sind. Die von der TCP-Spule 116 gespeiste und vom TCP-Anpassungsnetzwerk 110 abgestimmte RF-Leistung ionisiert das Gas. Die von der Elektrode 122 gespeiste und vom Vorspannungs-Anpassungsnetzwerk 120 abgestimmte RF-Leistung erzeugt eine Gleichstrom-Vorspannung am Substrat 124, um die Richtung und Energie der Innenbombardierung des Substrats 124 zu steuern. Während der Ätzbearbeitung reagiert das Plasma chemisch mit der Substratoberfläche 124, um nicht mit einer Fotolackmaske beschichtetes Material zu entfernen.
  • Eingangsparameter wie die der Plasmareaktoreinstellungen sind für die Plasmabearbeitung von entscheidender Bedeutung. Die Größe der tatsächlichen TCP-Leistung, der Vorspannungsleistung, des Gasdrucks, der Gastemperatur und des Gasflusses innerhalb der Plasmakammer 104 wirken sich stark auf die Verarbeitungsbedingungen aus. Eine merkliche Änderung der tatsächlich in die Plasmakammer 104 eingespeisten Leistung kann den für andere veränderliche Verarbeitungsparameter vorgesehenen Wert, wie den der neutralen und ionisierten Teilchendichte, Temperatur und Ätzgeschwindigkeit in unerwarteter Weise ändern.
  • Die 2 illustriert ein bei der Bearbeitung und Herstellung von Halbleiterbauteilen verwendetes kapazitiv-gekoppeltes Plasma-Ätzsystem 200. Das kapazitiv-gekoppelte Plasmabearbeitungssystem 200 schließt einen Plasmareaktor 202 ein, der in seinem Inneren eine Plasmakammer 204 aufweist. Eine verstellbare Leistungsversorgung 206 ist an eine obere Elektrode gekoppelt, die das im Inneren der Plasmakammer 204 erzeugte Plasma 210 beeinflusst.
  • Eine geerdete untere Elektrode 212, oder eine Haltevorrichtung, hält einen zu bearbeitenden Wafer 214 fest. Gemäss einer spezifischen Ausführung der vorliegenden Erfindung schließt die Haltevorrichtung eine oder mehrere, in die Haltevorrichtung eingebettete Heizungen 216 ein. Ein Temperaturregler 218 regelt die Temperatur der Haltevorrichtung 212 durch eine an die Heizung 216 angeschlossene Heizleistungsversorgung 220. Die Merkmale der Heizungen und der Haltevorrichtung sind weiter unten beschrieben.
  • Ein Gas-Speisungsmechanismus 222, wie ein Verteiler von stromaufwärts gelegenen Mengendurchflussreglern, speist typischerweise aus einer Gasquelle 223 die richtige, für das Herstellungsverfahren im Inneren des Plasmareaktors 204 erforderliche chemische Zusammensetzung. Ein Abgaskollektor 224 entfernt das Gas und gewisse Teilchen aus dem Inneren der Plasmakammer 204. Der Druck in der Plasmakammer 204 wird durch ein Drosselventil 226, öfters eines Pendeltyps, aufrechterhalten.
  • In der Plasmakammer 200 erfolgt das Ätzen eines Substrats wie eines Wafers dadurch, dass der Wafer 214 ionisierten Gasgemischen (Plasma 210) in einem Vakuum ausgesetzt wird. Die Ätzbearbeitung beginnt, sobald die Gase in die Plasmakammer 204 eingeführt werden. Die von der verstellbaren Leistungsversorgung 206 gespeiste RF-Leistung ionisiert das Gas. Die von der Elektrode 208 gespeiste RF-Leistung erzeugt eine Innenbombardierung auf die geerdete Haltevorrichtung 212 und den Wafer 214. Während der Ätzbearbeitung reagiert das Plasma 210 chemisch mit der Waferoberfläche 214, um nicht von einer Fotolackmaske beschichtetes Material zu entfernen.
  • Die 3 ist ein schematisches Diagramm, das eine Anlage zur Temperaturregelung eines Werkstücks steuert. Eine Grundplatte 302 oder ein Wärmeaustauscher hält einen Wärmeisolator 304 fest. Ein vorzugsweise flacher Halter ist über dem Wärmeisolator 304 eingebaut. Eine Heizung 308 ist in den Halter 306 eingebettet. Ein Werkstück 310, wie ein Wafer, ist auf dem Halter 306 platziert. Ein Wärmeleiter 312 sorgt für einen intimen Wärmekontakt zwischen dem Halter 306 und dem Werkstück 310. Der Wärmeleiter 312 kann vorzugsweise ein Gas wie Helium sein. Der Heliumdruck steuert die Wärmeleitung zwischen dem Werkstück 310 und dem Halter 306.
  • Die Grundplatte 302 schließt ein metallisches Material, vorzugsweise eine kalte Aluminiumplatte ein, die durch ein konventionelles Wärmeaustauschsystem wie einen Kühlungs/Heizungskreislauf auf einer relativ konstanten Temperatur gehalten wird. Nach einer anderen Ausführung kann die Grundplatte 302 auch ein nichtmetallisches Material, wie Aluminiumnitrat umfassen. Die Grundplatte 302 muss allerdings in einem größeren Maße als im Standardbetrieb ohne die Heizung 308 gekühlt werden. Die Temperatur der Grundplatte 302 kann beispielsweise 10°C bis 50°C unterhalb der für das Werkstück 310 gewünschten Temperatur liegen. Die Grundplatte 302 stellt auch eine thermische Senke für die Plasma-Aufheizung dar. Ein äußerer Kühler (nicht abgebildet) kann verwendet werden, um die Temperatur der Grundplatte aufrechtzuerhalten. Die vom äußeren Kühler abgeführte Wärmemenge und die Temperatur des Kühlmittels kann auf weniger als 2000 W bzw. –20 Grad C eingeschränkt werden. Die Grundplatte 302 weist weiterhin mehrere (hier nicht abgebildete) Löcher oder Aushöhlungen auf, durch die Heizungsversorgungsleitungen 312 oder sonstige Leitungen geführt werden. Derartige Hilfsleitungen können Versorgungsleitungen für die Heizungen, Sensoren, und elektrostatische Hochspannungsklammern umfassen. Fachleute mit gewöhnlichen Fähigkeiten in der Technik werden einsehen, dass diese Hilfsleitungen nicht auf die oben genannten Leitungen einzuschränken sind.
  • Der Wärmeisolator 304 wirkt als ein bedeutender Wärmeimpedanz-Unterbrecher zwischen dem Halter 306 und der Grundplatte 302. Der Wärmeisolator 304 kann eine starke, aus einem Polymer-, Kunstoff- oder Keramik-Material bestehende RTV-Haftschicht umfassen.
  • Die Abdämmung des Wärmegradienten des Wärmeisolators 304 darf jedoch nicht übermäßig sein, da der Wafer sonst ungenügend abgekühlt wird. Der Wärmeisolator hat zum Beispiel bevorzugt eine Wärmeleitfähigkeit in einem Bereich von etwa 0.05 W/mK bis etwa 0.20 W/mK. Der Wärmeisolator 304 wirkt in diesem Fall als Wärmewiderstandselement und als Haftschicht zwischen dem Halter 306 und der Grundplatte 302. Der Wärmeisolator 304 muss außerdem derart beschaffen sein, dass zwischen dem Plasma und der Grundplatte 304 eine hinreichende RF-Kopplung bewahrt bleibt. Der Wärmeisolator 304 muss außerdem eine erhebliche thermisch-mechanische Scherung aushalten wegen der unterhalb und oberhalb der Schicht vorhandenen unterschiedlichen Materialien und Temperaturen. Die Dicke des Wärmeisolators 304 sollte bevorzugt weniger als 2 mm betragen. Der Wärmeisolator 304 kann weiterhin mehrere (hier nicht abgebildete) Kavitäten oder Bohrungen zur Unterbringung von Teilen der Heizungsversorgungsleitungen 312 oder sonstiger Hilfsleitungen umfassen, die an die Aushöhlungen der Basis 304 angrenzen.
  • Der Halter 306 schließt ein Keramik-Material ein. Die Keramik kann ein elektrisch nicht leitfähiges Material wie beispielsweise Aluminiumoxyd sein. Die Form des Halters 306 kann vorzugsweise eine konventionelle, in Plasma-Ätzsystemen übliche Scheibe aufweisen. Der Halter 306 kann ein konventioneller elektrostatischer Halter oder eine Keramik sein, die eine mechanische Klammer zum Festhalten des Wafers 310 aufweist. Nach einer Ausführung beträgt die Dicke des Halters etwa 2 mm. Ein Fachmann der Technik wird aber einsehen, dass auch andere Dicken geeignet sein können. Nach einer weiteren Ausführung ist die Haltekonstruktion 306 des Typs einer „dünnen, einer Grundplatte anhaftenden Scheibe", sonst kann die seitliche Leitfähigkeit so hoch sein, dass die eingespeiste Wärme sich seitlich ausbreitet, was eine unwirksame Zonentrennung bewirkt. Der Halter sollte eine lokale Ableitung der Wärme erlauben.
  • Eine Heizung 308 schließt wenigstens ein Widerstandelement ein. Die Heizung 308 kann im Halter unterhalb der Klammerelektrodenebene liegen und in jeder beliebigen Form ausgestaltet sein, beispielsweise in einer symmetrischen oder beliebigen Weise. Die Heizung 308 kann auch ein oder mehrere flache Heizelemente aufweisen. Jedes Heizelement definiert eine Heizzone oder Heizgebiet, die unabhängig voneinander geregelt werden können. Diese Multi-Zonen Struktur weist eine oder mehrere flache Heizelemente auf, die der Abkühlung zum Halter 306 entgegenwirken. Ein jeder Heizzone zugeordneter Sensor 309 kann die Temperatur einer jeden Heizzone messen und einem Regler oder Computersystem, wie einer Steuerung 134 in 1 oder einer Steuerung 218 in 2 ein Signal zusenden, um jedes einzelne flache Heizelement zu überwachen und zu steuern. Ein Sensor wie ein Infrarotstrahlungssensor oder Thermoelementsensor kann zum Beispiel entweder durch die Öffnungen hindurch eingebaut werden, um unmittelbar am Werkstück Ablesungen vorzunehmen. Die Sensoren 309 können auch im Inneren oder an der Rückseite des Halters 306 eingebaut sein. Die Heizung 308 kann über elektrische Leitungen 312 versorgt werden, die durch die Öffnungen im Wärmeisolator 304 und in der Grundplatte 302 hindurchgeführt werden.
  • Die Heizung 308 kann eine Induktivheizung sein oder die Heizung 308 kann eine Heizlampe wie eine Krypton- oder Quarzlampe umfassen. Nach einer weiteren Ausführung schließt die Heizung 308 thermoelektrische Module ein, die abkühlen oder aufheizen können. Mit thermoelektrischen Modulen kann eine Grundplatte und ein thermischer Unterbrecher fakultativ sein. Die Heizung kann auch Widerstands-Heizelemente umfassen. Ein Fachmann mit gewöhnlichen Fähigkeiten in der Technik erkennt, dass es noch viele andere Möglichkeiten gibt, um den Halter 306 aufzuheizen.
  • Die 4A ist ein Diagramm, das ein Verfahren zum Ätzen eines Wafers gemäss einer spezifischen Ausführung der vorliegenden Erfindung illustriert. Das Verfahren erlaubt das Ätzen eines Wafers innerhalb einer Ätzanlage. Die Ätzanlage kann eine Haltevorrichtung zum Festhalten eines Wafers und einen Temperatursensor umfassen, der die Temperatur der Haltevorrichtung meldet. Dia Haltevorrichtung steht mit dem Wafer in unmittelbarem Wärmekontakt. Die Haltevorrichtung kann eine von einem Temperatur-Regelungssystem gesteuerte Heizung einschließen. Der Temperatursensor kann operativ mit dem Temperatur-Regelungssystem gekoppelt sein, um die Temperatur der Haltevorrichtung auf einer wählbaren Soll-Temperatur zu halten. Bei 402 werden eine erste Soll-Temperatur und eine zweite Soll-Temperatur gewählt. Bei 404 wird ein Wafer auf der Haltevorrichtung platziert. Bei 406 wird die Temperatur der Haltevorrichtung auf die erste Soll-Temperatur eingestellt. Dies wird entweder durch Abkühlen oder Aufheizen der Haltevorrichtung auf die erste Soll-Temperatur erreicht.
  • Bei 408 verändert sich die Temperatur der Haltevorrichtung während einer Zeitdauer der Waferbearbeitung von der ersten Soll-Temperatur auf die zweite Soll-Temperatur. Nach einer spezifischen Ausführung der vorliegenden Erfindung kann die Waferbearbeitung in einer inkrementellen Weise ausgeführt werden, indem die Temperatur der Haltevorrichtung während der Zeitdauer der Waferbearbeitung inkrementell von der ersten Soll-Temperatur zur zweiten Soll-Temperatur steigt oder fällt. Dies bedeutet, dass die Temperatur der Haltevorrichtung während der Waferbearbeitung hinauf- oder herabfährt. Das obige Verfahren kann verallgemeinert werden auf die Verwendung mehrerer verschiedener Soll-Temperaturen zur Manipulierung der Temperatur der Haltevorrichtung und des Wafers während einer Zeitdauer während der Bearbeitung des Wafers, was zu mehr als einem Temperaturprofil führt.
  • Das Aufheizen oder Abkühlen der Haltevorrichtung kann beispielsweise damit erreicht werden, dass in der Haltevorrichtung eingebettete Heizungen verwendet oder dass der Heliumdruck zwischen dem Wafer und der Haltevorrichtung geändert werden.
  • Die 4B ist ein Diagramm, das ein Verfahren zum Ätzen eines Wafers illustriert. Bei 402 werden eine erste Soll-Temperatur und eine zweite Soll-Temperatur gewählt. Bei 404 wird ein Wafer auf der Haltevorrichtung platziert. Bei 406 wird die Temperatur der Haltevorrichtung auf die erste Soll-Temperatur eingestellt. Bei 410 wird der Wafer für eine erste Zeitdauer bei der ersten Soll-Temperatur bearbeitet. Bei 412, nach dem Ende der ersten Zeitdauer, wird die Temperatur der Haltevorrichtung geändert auf die zweite Soll-Temperatur. Nach der vorliegenden Erfindung kann der Plasmaätzreaktor abgeschaltet werden, um die Temperatur während der einzelnen Plasmaphasen fallen zu lassen. Bei 414 wird der Wafer anschließend für eine zweite Zeitdauer bei der zweiten Soll-Temperatur bearbeitet.
  • Die obige Verarbeitung kann verallgemeinert werden auf die Verwendung mehrerer verschiedener Soll-Temperaturen zur Manipulierung der Haltevorrichtung und des Wafers, was zu mehr als einem Temperaturprofil führt. Die Temperatur der Haltevorrichtung kann daher, je nach den Soll-Temperaturen, entweder steigen oder fallen.
  • Die 4C ist ein Ablaufdiagramm, das ein Verfahren zum Ätzen eines Wafers nach der vorliegenden, auch in den beigefügten Ansprüchen definierten Erfindung verwendet. Die elektrostatische Haltevorrichtung (ESC) kann in verschiedene thermische Zonen unterteilt werden. Die in der Haltevorrichtung eingebettete Heizung kann beispielsweise aus einem ersten Heizelement zum Aufheizen des inneren Gebiets der Haltevorrichtung, und aus einem zweiten Heizelement zum Aufheizen des äußeren Gebiets der Haltevorrichtung bestehen. Bei 416 können verschiedene Soll-Temperaturen eingestellt werden. Die verschiedenen Soll-Temperaturen können eine erste innere und äußere Soll-Temperatur und eine zweite innere und äußere Soll-Temperatur umfassen. Bei 418 wird ein zu ätzender Wafer auf der ESC platziert. Bei 420 wird die Temperatur des inneren Gebietes der Haltevorrichtung auf die erste innere Soll-Temperatur eingestellt und die Temperatur des äußeren Gebiets der Haltevorrichtung wird auf die erste äußere Soll-Temperatur aufgeheizt. Bei 422 wird der Wafer für eine erste Zeitdauer bei der ersten inneren und äußeren Soll-Temperatur bearbeitet. Bei 424 wird die Temperatur des inneren Gebiets der Haltevorrichtung alsdann auf die zweite innere Soll-Temperatur geändert und die Temperatur des äußeren Gebiets der Haltevorrichtung wird auf die zweite äußere Soll-Temperatur geändert. Bei 426 wird der Wafer alsdann für eine zweite Zeitdauer bei der zweiten inneren und äußeren Soll-Temperatur bearbeitet.
  • Die obige Verarbeitung kann durch Verwendung mehrerer verschiedener innerer und äußerer Soll-Temperaturen zur Manipulierung der Haltevorrichtung und des Wafers verallgemeinert werden, wodurch sich mehr als ein Temperaturprofil ergibt. Die Temperatur der Haltevorrichtung kann also je nach den verschiedenen inneren und äußeren Soll-Temperaturen ansteigen oder fallen.
  • Die 5 ist eine graphische Darstellung der Temperaturänderung eines Wafers während einer Ätzbearbeitung gemäss der vorliegenden Erfindung. Dieses Beispiel illustriert die Temperaturänderung der Haltevorrichtung während einer Ätzbearbeitung. Bei diesem speziellen Fall wird die Temperatur während der Ätzbearbeitung gesenkt, um das Rundungsprofil am Boden eines Grabens zu vergrößern. Durch die Verwendung einer abstimmbaren ESC könnte die Temperatur der Haltevorrichtung in beiden Heizzonen gleichzeitig oder separat auf einen einzelne oder duale Zieltemperatur erhöht werden. Die Ätzbearbeitung begann mit einer hohen Temperatur, dann wird die Temperatur der Haltevorrichtung schrittweise gesenkt, bis das gewünschte STI-Niveau erreicht ist. Zu Illustrationszwecken zeigt die 6A ein Querschnitts-Diagramm eines konventionellen Grabens in einem konventionell geätzten Wafer. Im Gegensatz dazu ist die 6B ein Querschnitts-Diagramm eines Grabens in einem nach einer spezifischen Ausführung der vorliegenden Erfindung geätztem Graben.
  • Die vorliegende Erfindung kann verwendet werden, um die Profilrundung eines Grabenbodens durch eine Änderung der Temperatur einer elektrostatischen Haltevorrichtung (ESC) während des Ätzens eines Grabens zu erhöhen. Mit dem Einsatz einer ESC könnte die Temperatur der Haltevorrichtung in beiden Heizgebieten gleichzeitig oder getrennt zu einer einzigen oder dualen Zieltemperatur verändert werden. Das Erzielen des gewünschten STI-Profils bzw. der Bodenrundung kann gelegentlich schwierig sein. Weiterhin weist der Wafer eines jeden Kunden eine unterschiedlich ausgesetzte Fläche auf, die die Übertragung eines erfolgreichen STI- und/oder Rundungsprofilrezeptes von einem Wafer-Typ zu einem anderen verhindert. Frühere Bodenrundungsrezepte haben beschränkten Erfolg erzielt und gelegentlich Profilunregelmäßigkeiten erzeugt. Diese früheren Bodenrundungsprofile konnten außerdem keine Profilrundung erzeugen. Eine Profilrundung ist der Punkt, an dem sich der Graben lange vor dem Boden des Grabens abzurunden beginnt (Kurve nach innen). Eine erfolgreiche Profilrundung weist einen sanften Übergang von oben (geradem Profilabschnitt) nach unten auf, wodurch sich eine konstant ansteigende Krümmung ohne Unregelmäßigkeiten bzw. Ecken am Grabenboden ergibt.
  • Nach einer spezifischen Ausführung der vorliegenden Erfindung kann das hier offenbarte Verfahren auch durch den Einsatz einer abstimmbaren ESC-Ätzanlage ausgeführt werden. Bei den meisten chemischen STI-Verfahren führt eine Verminderung der Temperatur der Haltevorrichtung zu einer Erhöhung der Profilverjüngung sowohl an dichten als an Iso-Gräben. Niedrigere Temperaturen erhöhen den Oberflächenhaftkoeffizienten, was den Wieder-Niederschlag an den Seitenwänden erhöht. Das Absenken der Temperatur der Haltevorrichtung während der Grabenätzung (d.h. bei angeschaltetem Plasma) erhöht die Profilverjüngung während der Grabenätzung dadurch, dass der Wieder-Niederschlag an den Seitenwänden laufend erhöht wird. Der verwendete Temperaturbereich kann erheblich variieren. Nach einer anderen spezifischen Ausführung der vorliegenden Erfindung kann es die abstimmbare ESC auch erlauben, die inneren und äußeren Temperaturgebiete der ESC bei verschiedenen Temperaturen unabhängig voneinander zu verändern, um die Tiefe und/oder die Gleichförmigkeit des Profils vom Waferzentrum zur Waferkante zu regulieren und zu verbessern. Das Bodenprofil sowie die Ecken werden durch Ändern der ESC-Temperatur gleichfalls abgerundet.
  • Nach einer weiteren Ausführung der vorliegenden Erfindung erlaubt es die vorliegende Erfindung, das Profil (Oxyd und Fotolack) von hochentwickelten Halbleitermerkmalen durch eine dynamische Regelung der Substrattemperatur während einer Trockenätzverarbeitung der Materialien zu steuern. Die Wafertemperatur regelt die Oberflächenreaktionen, die am mikroskopischen Merkmal auftreten, und regelt die Streuung der Arten über die Oberfläche, die Absorptions- und Desorptionsmerkmale der Oberflächenarten, und die Geschwindigkeit der chemischen Reaktionen an der Oberfläche. Durch diese Mechanismen können die mikroskopischen Dimensionen des Merkmals während einer einzigen Verarbeitungsphase durch Ändern der Temperatur des Wafers abgestimmt werden. Die durch die Temperatur abstimmbaren Oxydprofilmerkmale schließen Bögen, parallele Linien und Oxydätzgeschwindigkeiten ein. Die abstimmbaren Merkmale eines Fotolackprofils schließen Facettierung des Fotolack und Gleichmäßigkeit des Niederschlags ein.
  • Beispiele relevanter Anwendungen schließen ein Ätzen mit hohem Längenverhältniskontakt (HARC – engl. High Aspect Ratio Contact) und Selbstausrichtungskontakt (SAC – engl. Self Aligned Contact) ein. Die vorliegende Erfindung kann derart ausgeführt werden, dass beispielsweise sowohl ein traditioneller 248 nm oder ein neuerer 193 nm Fotolack verwendet wird, der den chemischen Ätz-Zusammensetzungen gegenüber sensibler und daher besser geeignet ist, um parallele Linien zu erzeugen. Für eine optimale Profilsteuerung und Minimisierung einer unvollständigen Ätzbearbeitung kann das HARC-Verfahren während der ersten Phase einer Ätzverarbeitung eine hohe Temperatur, und während der zweiten Phase eine niedrige Temperatur erfordern. Die hohe Temperatur dient dazu, Bögen und parallele Linien beim HARC-Ätzen durch ein Erhöhen der Polymer-Beweglichkeit an der Waferoberfläche auszuschalten. Das SAC-Ätzen erfordert ein umgekehrtes Temperaturprogramm, und zwar mit einer niedrigen Temperatur während der ersten Phase des Ätzens für hohe Oxydätzgeschwindigkeiten, und einer hohen Temperatur während der zweiten Phase, um eine optimale Silikonnitridselektivität zu erzielen.
  • Für Darstellungszwecke wird im Folgenden ein Beispiel eines Verfahrens für die Steuerung eines Kontaktprofils geboten, in dem die Wafertemperatur während der Ätzbearbeitung in einer kapazitiv gekoppelten, Doppelfrequenz-Plasmaanlage geändert wird. Für 200 mm Anwendungen kann eine Gesamtleistung von 3000 W und ein Arbeitsdruck von 6,67 Pa verwendet werden. Der Gasgesamtfluss kann zwischen 100 und 600 sccm (Standard-Kubikzentimeter pro Minute) betragen.
  • Hohe Längenverhältniskontakte (HARC):
  • Für eine optimale Profilkontrolle und eine Minimisierung einer unvollständigen Ätzung kann die HARC-Ätzung während der ersten Ätzphase bei einer hohen Temperatur, und während der zweiten Ätzphase bei einer niedrigen Temperatur durchgeführt werden. Die hohe Temperatur dient dazu, während der HARC-Ätzung Bögen und parallele Linien durch Erhöhen der Polymer-Beweglichkeit an der Waferoberfläche und/oder durch Vermindern des Haftkoeffizienten der reaktiven Spezies auf ein Minimum zu bringen. Die Zeitdauer der Hochtemperaturphase des Ätzens kann lang genug sein, um eine größere Tiefe als die maximale Bogentiefe (üblicherweise weniger als 1 μm) zu erzielen. Ein typischer, zum Ätzen von 0.18 μm Merkmalen bis zu einer Tiefe von 2.5 μm verwendeter HARC-Chemieprozess kann wie folgt sein:
    6.67 Pa/1200 (2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/2666 Pa He/270s
  • Für viele dielektrische Filme könnte diese Bearbeitung einen unerwünschten Profilbogen etwa 5000 A bis 7000 A unter der obersten Oberfläche des Kontakts erzeugen. Um diesen Bogen auf ein Minimum zu bringen, kann das Ätzen in zwei Phasen vorgenommen werden, eine bei einer hohen Temperatur und eine bei einer niedrigen Temperatur. Die hohe Temperatur könnte durch Verringern des He-Druckes erreicht werden, worauf die Zweiphasenbearbeitung folgendermaßen erfolgen kann:
    6.67 Pa/1200 (2)/1800 (27)/300 Ar/15 C4F8/8 O2/0C LE/1333 Pa He/200s
    6.67 Pa/1200 (2)/1800 (27)/300 Ar/15 C4F8/8 O2/0C LE/2666 Pa He/70s
  • Die zweite Bearbeitungsphase kann bei einer niedrigeren Temperatur ablaufen, um Ätzunterbrechungen tief im Kontaktloch zu vermeiden. Durch ein Erhöhen der Wafertemperatur um 20 Grad C konnte der Bogen von etwa 40% der ursprünglichen Kontaktgröße auf weniger als 5% der ursprünglichen Kontaktgröße verringert werden.
  • Selbst-ausgerichteter Kontakt (SAC):
  • Das SAC-Ätzen erfordert das umgekehrte Temperaturprogramm des HARC-Ätzens, und zwar eine niedrige Temperatur während der ersten Ätzphase für hohe Oxydätzgeschwindigkeiten und eine hohe Temperatur während der zweiten, um eine optimale Nitridselektivität zu erreichen. Dieser Ansatz nutzt den Umstand, dass Siliziumnitrid bei höherer Temperatur langsamer ätzt.
  • Eine SAC-Bearbeitung kann wie folgt sein:
    8 Pa/1500 (2)/1500 (27)/300 Ar/16 C4F6/12 O2/0C LE/1067 Pa He/110s
  • Die 1067 Pa des He-Druckes erzeugen einen relativ heißen Wafer und gute Nitridselektivität, hinterlassen aber Oxydrückstände am Boden des Kontaktloches. Falls der umgekehrte Ansatz verwendet und das Ätzen bei niedrigeren Temperaturen ausgeführt wird, so kann das Oxyd zwar vollständig geätzt, die Nitridselektivität aber gering sein. Mit einem Zweiphasenansatz, wie:
    8 Pa/1500 (2)/1500 (27)/300 Ar/16 C4F6/12 O2/0C LE/2666 Pa He/50s
    8 Pa/1500 (2)/1500 (27)/300 Ar/16 C4F6/12 O2/0C LE/1067 Pa He/60s
    wird der Oxyd-Rückstand gänzlich geätzt und eine gute Nitridselektivität erreicht. Falls der ganze Wafer mit 2666 Pa He geätzt wird, so wird die Nitridselektivität um einen Faktor drei degradiert. Bei Verwendung einer 30 Grad heißeren Temperatur während der zweiten Ätzphase ist die Nitridätzgeschwindigkeit um etwa 60% verringert.
  • Es bestand keine Erfahrung, beispielsweise die Temperatur von offenem ARC zum Metallstapel zu ändern, oder die Wafertemperatur innerhalb einer Einzelkammer von einem Los zum anderen zu regeln, wenn sich die offene Oberfläche ändert. Es wurden üblicherweise hierfür eigens vorgesehene, bei verschiedenen Temperaturen arbeitende Kammern verwendet. Es ist nicht günstig, eigens dafür vorgesehene, bei verschiedenen Temperaturen arbeitende Kammern für jede Schicht in einem Stapel zu verwenden, weil sich daraus ein verringerter Durchsatz und eine gleichzeitige Erhöhung der Besitzkosten ergeben. Eine Schicht-um-Schicht Änderung der Temperatur ermöglicht es dagegen, Profile und eine Selektivität zu erzielen, die mit keinem anderen Mittel zu erzielen sind. Indem er eigens für jede Temperatur vorgesehene Kammern meidet, kann der Halbleiterhersteller einen flexiblen Kapitaleinsatz erreichen und die Produktion auf eine rasche Änderung der Produktpalette einstellen. Dies führt zu Einsparungen, weil potentiell weniger Maschinen erforderlich sind und sämtliche Maschinen für jede Art von offenen Oberflächen oder jede Art Änderung im Bauteillayout verwendet werden können, da ansonsten eigens hierfür vorgesehene, bei verschiedenen Temperaturen arbeitende Kammern erforderlich sein würden.
  • 1
  • 127
    Gasquelle
    106
    TCP-Leistungsregler
    110
    TCP-Leistungsversorgung
    114
    TCP-Leistungsanpassung
    126
    Gas-MFC
    132
    Plasma
    124
    Wafer
    135
    Heizungsleistungsversorgung
    130
    Drucksteuerventil
    128
    Pumpe
    Exhaust
    Auslass
    134
    Steuerung
    130
    Vorspannungskopplung
    122
    Vorspannungsleistungsversorgung
    108
    Vorspannungsleistungssteuerung
  • 2
  • 223
    Gasquelle
    222
    Gas-MFC
    214
    Wafer
    220
    Leistungsversorgung
    226
    Drucksteuerungsventil
    224
    Pumpe
    224
    Ablass
    218
    Steuerung
  • 3
  • 310
    Wafer
    306
    Halter
    304
    Wärmeisolator
    302
    Grundplatte
  • 4A
  • Start
    402
    Erste und zweite Soll-Temperatur
    404
    Wafer auf die Haltevorrichtung platzieren
    406
    Temperatur der Haltevorrichtung auf die erste Soll-Temperatur einstellen
    408
    Temperatur der Haltevorrichtung während der Zeitdauer der Wafer-Bearbeitung von der ersten Soll-Temperatur auf die zweite Soll-Temperatur erhöhen
    Ende
  • 4B
  • Start
    402
    Erste und zweite Soll-Temperatur einstellen
    404
    Wafer auf die Haltevorrichtung platzieren
    406
    Haltevorrichtung auf die erste Soll-Temperatur einstellen
    410
    Wafer für eine erste Zeitdauer bei der ersten Soll-Temperatur bearbeiten
    412
    Temperatur der Haltevorrichtung auf die zweite Soll-Temperatur einstellen
    414
    Wafer für eine zweite Zeitdauer bei der zweiten Soll-Temperatur bearbeiten
    Ende
  • 4C
  • Start
    416
    Erste innere und äußere Soll-Temperatur, und zweite innere und äußere Soll-Temperatur einstellen
    418
    Wafer auf die Haltevorrichtung setzen
    420
    Inneren Teil der Haltevorrichtung auf die erste innere Soll-Temperatur, und äußeren Teil der Haltevorrichtung auf die erste äußere Soll-Temperatur einstellen
    422
    Wafer für eine erste Zeitdauer bei der ersten inneren und äußeren Soll-Temperatur bearbeiten
    424
    Innere Temperatur der Haltevorrichtung zur zweiten inneren Soll-Temperatur, und äußere Temperatur der Haltevorrichtung zur zweiten äußeren Soll-Temperatur ändern
    426
    Wafer für eine zweite Zeitdauer bei der zweiten inneren und äußeren Soll-Temperatur bearbeiten
    Ende
  • 5
  • Inner temp.
    innere Temperatur
    Guter temp.
    äußere Temperatur
    Time (sec)
    Zeit (Sekunden)

Claims (15)

  1. Verfahren zum Ätzen eines Wafers (214; 310) innerhalb einer Ätzanlage, wobei die Ätzanlage eine Haltevorrichtung (122) zum Festhalten des Wafers (214; 310) und eine Vielzahl von Temperatursensoren einschliesst, die wenigstens eine Temperatur des Wafers (214; 310) in einem inneren Gebiet und einem äusseren Gebiet melden, wobei die Haltevorrichtung (122) eine erste Heizung, die das innere Gebiet heizt, und eine zweite Heizung, die das äussere Gebiet heizt, einschliesst, wobei die erste und zweite Heizung von einem Temperaturkontrollsystem gesteuert werden, und wobei die Vielzahl der Temperatursensoren operativ an das Temperaturkontrollsystem gekoppelt ist, um die Temperatur der Haltevorrichtung (122) auf einer auswählbaren Soll-Temperatur zu halten, das Verfahren umfassend: Auswählen einer ersten inneren Soll-Temperatur und einer ersten äusseren Soll-Temperatur, einer zweiten inneren Soll-Temperatur und einer zweiten äusseren Soll-Temperatur; Platzieren des Wafers (214; 310) auf der Haltevorrichtung (122); Aufheizen des inneren Gebiets der Haltevorrichtung (122) auf die besagte erste innere Soll-Temperatur und des äusseren Gebiets der Haltevorrichtung (122) auf die besagte erste äussere Soll-Temperatur; und entweder Ändern der Temperatur der Haltevorrichtung (122) von besagter erster innerer Soll-Temperatur auf die besagte zweite innere Soll-Temperatur und von besagter erster äusserer Soll-Temperatur auf die besagte zweite äussere Soll-Temperatur während der Wafer (214; 310) für eine Zeitdauer bearbeitet wird; oder Bearbeiten des Wafers (214; 310) für eine erste Zeitdauer; Ändern der Temperatur der Haltevorrichtung (122) von besagter erster innerer Soll-Temperatur auf die besagte zweite innere Soll-Temperatur und von besagter erster äusserer Soll-Temperatur auf die besagte zweite äussere Soll-Temperatur; und Bearbeiten des Wafers (214; 310) für eine zweite Zeitdauer bei den besagten zweiten inneren und äusseren Soll-Temperaturen.
  2. Verfahren nach Anspruch 1, bei dem die besagten ersten innere und äussere Soll-Temperaturen jeweils grösser sind als die besagten zweiten innere und äussere Soll-Temperaturen.
  3. Verfahren nach Anspruch 1, bei dem die besagten ersten innere und äussere Soll-Temperaturen jeweils geringer sind als die besagten zweiten innere und äussere Soll-Temperaturen.
  4. Verfahren nach Anspruch 1, bei dem dem Wafer (214; 310) erlaubt wird abzukühlen zwischen der Bearbeitung für die besagte erste Zeitdauer und der Bearbeitung für die besagte zweite Zeitdauer.
  5. Verfahren nach Anspruch 1, bei dem der Wafer (214; 310) aufgeheizt wird zwischen der Bearbeitung für die besagte erste Zeitdauer und der Bearbeitung für die besagte zweite Zeitdauer.
  6. Verfahren nach einem der Ansprüche 1 bis 5, bei dem die besagte Haltevorrichtung (122) eine Vielzahl von thermischen Zonen umfasst.
  7. Verfahren nach Anspruch 6, wobei die besagten thermischen Zonen der besagten Haltevorrichtung (122) so konfiguriert sind, dass ein Gas entlang und zwischen einer oberen Fläche der besagten Haltevorrichtung (122) und einer Unterseite des Wafers (214; 310) fliessen kann; wobei das Verfahren weiter umfasst: Beaufschlagen der entsprechenden thermischen Zonen mit einem Gas.
  8. Verfahren nach Anspruch 7, weiter umfassend Steuern der Drücke des Gases separat in den entsprechenden Zonen, um die Temperaturen auf die besagte erste innere und äussere Soll-Temperatur und auf die besagte zweite innere und äussere Soll-Temperatur zu regeln.
  9. Verfahren nach einem der Ansprüche 1 bis 8, weiter umfassend: Steuern eines Profils des Wafers (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  10. Verfahren nach einem der Ansprüche 1 bis 9 weiter umfassend: Steuern einer Verjüngung eines Grabens (engl. Fachausdruck: trench) im Wafer (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  11. Verfahren nach einem der Ansprüche 1 bis 10, weiter umfassend Steuern einer Rundung eines oberen und eines unteren Grabens des Wafers (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  12. Verfahren nach einem der Ansprüche 1 bis 11, weiter umfassend Steuern eines Bogens eines Grabens im Wafer (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  13. Verfahren nach einem der Ansprüche 1 bis 12, weiter umfassend Steuern paralleler Linien (engl. Fachausdruck: striation) eines Grabens im Wafer (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  14. Verfahren nach einem der Ansprüche 1 bis 13, weiter umfassend Steuern einer Facette eines Grabens im Wafer (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und der besagten zweiten inneren und äusseren Soll-Temperaturen.
  15. Verfahren nach einem der Ansprüche 1 bis 14, weiter umfassend Steuern einer kritischen Dimension des Wafers (214; 310) mit dem besagten Auswählen der besagten ersten inneren und äusseren Soll-Temperaturen und besagten zweiten inneren und äusseren Soll-Temperaturen.
DE60313861T 2002-04-02 2003-03-25 Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung Expired - Lifetime DE60313861T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36977302P 2002-04-02 2002-04-02
US369773P 2002-04-02
US235453 2002-09-04
US10/235,453 US6921724B2 (en) 2002-04-02 2002-09-04 Variable temperature processes for tunable electrostatic chuck
PCT/US2003/009153 WO2003085721A2 (en) 2002-04-02 2003-03-25 Variable temperature processes for tunable electrostatic chuck

Publications (2)

Publication Number Publication Date
DE60313861D1 DE60313861D1 (de) 2007-06-28
DE60313861T2 true DE60313861T2 (de) 2008-01-17

Family

ID=28456852

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60313861T Expired - Lifetime DE60313861T2 (de) 2002-04-02 2003-03-25 Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung

Country Status (11)

Country Link
US (1) US6921724B2 (de)
EP (1) EP1493180B1 (de)
JP (3) JP4698951B2 (de)
KR (1) KR100921356B1 (de)
CN (1) CN1323427C (de)
AT (1) ATE362652T1 (de)
AU (1) AU2003233432A1 (de)
DE (1) DE60313861T2 (de)
IL (2) IL164363A0 (de)
TW (1) TWI281212B (de)
WO (1) WO2003085721A2 (de)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
FR2850790B1 (fr) * 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040173311A1 (en) * 2003-03-04 2004-09-09 Tomoyoshi Ichimaru Plasma processing apparatus and method
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7202178B2 (en) * 2004-12-01 2007-04-10 Lexmark International, Inc. Micro-fluid ejection head containing reentrant fluid feed slots
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
WO2008051369A2 (en) * 2006-10-25 2008-05-02 Axcelis Technologies, Inc. Low-cost electrostatic clamp with fast declamp time and the manufacture
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP2008277499A (ja) * 2007-04-27 2008-11-13 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP5433171B2 (ja) * 2008-06-16 2014-03-05 株式会社日立ハイテクノロジーズ 試料温度の制御方法
JP2010050046A (ja) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp プラズマ処理装置
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
TWI395289B (zh) * 2009-05-15 2013-05-01 Advanced Micro Fab Equip Inc An electrostatic chuck device, a plasma processing device, and a method of manufacturing an electrostatic chuck device
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
SG180882A1 (en) * 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
NL2008751A (en) 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US8668837B2 (en) * 2011-10-13 2014-03-11 Applied Materials, Inc. Method for etching substrate
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP5933222B2 (ja) * 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
CN202979450U (zh) * 2011-12-31 2013-06-05 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
JP5975755B2 (ja) 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
JP6217233B2 (ja) * 2013-08-21 2017-10-25 住友電気工業株式会社 半導体装置の製造方法
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9437472B2 (en) * 2014-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor line feature and manufacturing method thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6407694B2 (ja) 2014-12-16 2018-10-17 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10707110B2 (en) * 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10690414B2 (en) 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN109473381A (zh) * 2018-10-31 2019-03-15 上海华力微电子有限公司 湿法刻蚀清洗设备和方法
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment
JP7351865B2 (ja) * 2021-02-15 2023-09-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JPH05136095A (ja) * 1991-11-14 1993-06-01 Nec Corp ドライエツチング装置
JP2786571B2 (ja) * 1992-07-07 1998-08-13 日本碍子株式会社 半導体ウエハー加熱装置
JPH06283594A (ja) * 1993-03-24 1994-10-07 Tokyo Electron Ltd 静電チャック
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
JPH1014266A (ja) * 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
US5989929A (en) 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6103585A (en) * 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
JP3892609B2 (ja) * 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
JP2000260720A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
US6387798B1 (en) * 2001-06-25 2002-05-14 Institute Of Microelectronics Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile

Also Published As

Publication number Publication date
ATE362652T1 (de) 2007-06-15
JP2010187023A (ja) 2010-08-26
US6921724B2 (en) 2005-07-26
IL164363A (en) 2010-02-17
KR20050004834A (ko) 2005-01-12
JP2005522051A (ja) 2005-07-21
KR100921356B1 (ko) 2009-10-13
JP4698951B2 (ja) 2011-06-08
JP6170540B2 (ja) 2017-07-26
WO2003085721A2 (en) 2003-10-16
IL164363A0 (en) 2005-12-18
JP5881277B2 (ja) 2016-03-09
TW200406846A (en) 2004-05-01
TWI281212B (en) 2007-05-11
CN1647259A (zh) 2005-07-27
DE60313861D1 (de) 2007-06-28
AU2003233432A1 (en) 2003-10-20
US20030186545A1 (en) 2003-10-02
CN1323427C (zh) 2007-06-27
EP1493180B1 (de) 2007-05-16
JP2016096341A (ja) 2016-05-26
WO2003085721A3 (en) 2003-12-18
EP1493180A2 (de) 2005-01-05

Similar Documents

Publication Publication Date Title
DE60313861T2 (de) Veränderbare Temperaturprozesse für verstellbare elektrostatische Haltevorrichtung
DE60036291T2 (de) Gasverteilungsvorrichtung für die halbleiterbearbeitung
DE69628358T2 (de) Plasmaätzmethode
DE3727264C2 (de)
DE102011013571A1 (de) Plasmaätzverfahren und Plasmaätzvorrichtung
DE602004006639T2 (de) Verfahren zur herstellung einer elektrostatischen mems-einspannvorrichtung
DE10360000A1 (de) Abstandselement für eine Gateelektrode mit Zugspannung und ein Verfahren zur Herstellung
DE112006000811B4 (de) Ätzprozess für CD-Reduzierung eines ARC-Materials
US7319067B2 (en) Method of simultaneously controlling ADI-AEI CD differences of openings having different sizes and etching process utilizing the same method
DE10339989A1 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
DE10208164B4 (de) Verfahren zum Steuern einer elektrischen Eigenschaft eines Feldeffekttransistors
EP0338102B1 (de) Verfahren zur Herstellung von integrierten Halbleiterstrukturen welche Feldeffekttransistoren mit Kanallängen im Submikrometerbereich enthalten
DE102017101334A1 (de) Wärmeschutz für kammertür und damit hergestellte vorrichtungen
DE102004057762B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
DE10329389B4 (de) Verfahren zur Kompensierung von Ätzratenungleichförmigkeiten mittels Ionenimplantation
US6794302B1 (en) Dynamic feed forward temperature control to achieve CD etching uniformity
DE10305729A1 (de) RTCVD-Verfahren und -Reaktor mit verbesserter Gleichmäßigkeit der Beschichtung und Stufenabdeckung
DE102016102577A1 (de) Prozessierung von Halbleitervorrichtungen
DE10345374A1 (de) Halbleiterbauteil mit einem Nickel/Kobaltsilizidgebiet, das in einem Siliziumgebiet gebildet ist
DE60108078T2 (de) Heizungsanlage und Verfahren zur Heizung für einen Reaktor
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102008016429A1 (de) Verfahren zur Herstellung dünner Schichten durch einen thermisch aktivierten Prozess unter Anwendung eines Temperaturgradienten über das Substrat hinweg
DE102004047631A1 (de) Verfahren zum Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
DE10297788B4 (de) Vorrichtung für die Herstellung einer Halbleitervorrichtung mit zwei Kammern und Verfahren für die Herstellung einer Halbleitervorrichtung unter Verwendung dieser Vorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition