JP6170540B2 - 調整可能な静電チャックのための可変温度方法 - Google Patents

調整可能な静電チャックのための可変温度方法 Download PDF

Info

Publication number
JP6170540B2
JP6170540B2 JP2015227250A JP2015227250A JP6170540B2 JP 6170540 B2 JP6170540 B2 JP 6170540B2 JP 2015227250 A JP2015227250 A JP 2015227250A JP 2015227250 A JP2015227250 A JP 2015227250A JP 6170540 B2 JP6170540 B2 JP 6170540B2
Authority
JP
Japan
Prior art keywords
set temperature
temperature
wafer
chuck
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2015227250A
Other languages
English (en)
Other versions
JP2016096341A (ja
Inventor
トム エイ カンプ
トム エイ カンプ
リチャード ゴットショ
リチャード ゴットショ
スティーヴ リー
スティーヴ リー
クリス リー
クリス リー
ヨウコ ヤマグチ
ヨウコ ヤマグチ
ヴァヒド ヴァヘディ
ヴァヒド ヴァヘディ
アーロン エップラー
アーロン エップラー
Original Assignee
ラム リサーチ コーポレイション
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション, ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JP2016096341A publication Critical patent/JP2016096341A/ja
Application granted granted Critical
Publication of JP6170540B2 publication Critical patent/JP6170540B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Description

(関連出願)
本出願は、本発明の譲受人に譲渡された、発明者、Tom Kamp、Richard A.Gottscho、Steve Lee、Chris Lee、Yoko Yamaguchi、Vahid Vahedi、Aaron Epplerの名の下に2002年4月2日に出願された米国仮特許出願連続番号第60/369,773号に基づく優先権を主張するものである。
本発明は、半導体製造に関する。より具体的には、本発明は、プラズマエッチング及び堆積に関する。
集積回路を製造する際に、半導体ウエハは、二酸化ケイ素、窒化ケイ素、又は金属のような材料の1層又はそれ以上の層で完全に被覆されることになる。次に、不要な材料は、例えば、マスクを通したエッチングによるような1つ又はそれ以上のエッチング工程を用いて選択的に除去される。時には、種々のパターンが、半導体の表面上に直接エッチングされることもある。例えば、トレンチキャパシタが形成されるべきところに円形の穴又は溝を形成することができる。ほとんどの集積回路のエッチングは、選択領域の材料だけを除去するもので、これは、一連の関連する処理段階を用いて行われる。まず、半導体ウエハは、接着性で耐エッチング性のフォトレジストで被覆される。次に、所望のパターンを残すようにフォトレジストを選択的に除去する。次に、下にある材料にマスクパターンを転写するようにエッチングを行う。次に、フォトレジストを除去し(剥がし)、ウエハを清掃する。
考えられる種類のエッチングには、湿式化学エッチング、電気化学エッチング、プラズマエッチング、反応性イオンエッチング、イオンビームミリング、スパッタリング、及び高温ガスエッチングが挙げられる。現在、プラズマエッチングが、半導体記憶装置の製造のような微細な幾何学的形状の用途に一般に用いられている。半導体集積回路の集積密度が高くなるのに伴って、半導体ウエハ上に深いトレンチ、コンタクトホール、及び開口部のような特定形状のエッチング形態を形成するためのこうしたエッチング工程の制御可能性を改善することが望まれる。
ウエハをエッチングするエッチング処理装置は、該ウエハを保持するチャックと、該ウエハの温度を知らせる温度センサとを含む。チャックは、温度制御システムによって制御されるヒータを含む。温度センサは、温度制御システムに作動的に結合されて、チャックの温度を選択可能な設定温度に維持する。第1の設定温度及び第2の設定温度を選択する。ウエハをチャック上に配置し、第1の設定温度に設定する。次に、ウエハを、第1の設定温度で第1の時間だけ処理し、第2の設定温度で第2の時間だけ処理する。
本発明の1つの特定の実施形態によるエッチング方法を実行するのに用いられるエッチング装置のブロック図である。 本発明の別の特定の実施形態によるエッチング方法を実行するのに用いられるエッチング装置のブロック図である。 本発明の1つの特定の実施形態によるエッチング方法を実行するのに用いられるチャックのブロック図である。 本発明の1つの特定の実施形態によるウエハをエッチングする方法を示すフロー図である。 本発明の別の特定の実施形態によるウエハをエッチングする方法を示すフロー図である。 本発明のさらに別の特定の実施形態によるウエハをエッチングする方法を示すフロー図である。 本発明の1つの特定の実施形態によるエッチング工程中のウエハの温度変化についてのグラフである。 通常のエッチングされたウエハ内のトレンチの断面図である。 本発明の1つの特定の実施形態による、エッチングされたウエハ内のトレンチの断面図である。
本明細書に組み入れられかつ本明細書の一部を構成する添付の図面は、本発明の1つ又はそれ以上の実施形態を示し、詳細な説明と併せて、本発明の原理及び実施を説明するものとなる。
本発明の実施形態は、ここでは、調整可能な静電チャックのための可変温度方法の内容について述べられる。当業者であれば、本発明の以下の詳細な説明は例示的なものに過ぎず、如何なる形ででも制限的なものではないように意図されていることを理解するであろう。この開示の利点を得た当業者であれば、本発明の他の実施形態が容易に心に浮かぶであろう。ここで、添付の図面に示される本発明の実施について詳細に参照する。図面及び以下の詳細な説明の全体にわたり、同じ又は同様な部分を意味するために、同じ参照表示が用いられる。
明確さのために、ここに述べられる実施におけるすべての通常の特徴が図示されて述べられるわけではない。もちろん、いずれのこのような実際の実施の開発においても、用途関連及びビジネス関連の制約との適合性のような開発者の特定の目標を達成するために、幾多の実施形態特有の決定をしなければならず、これらの特定の目標は実施によって、及び開発者によって異なることが分かる。さらに、このような開発努力は複雑で時間のかかるものであるが、この開示の利点を得た当業者にとっては、通常の技術であることが理解されるであろう。
半導体製造においては、薄膜スタックを用いることが一般的である。例えば、DRAM製造に用いられる典型的なトランジスタゲートスタックは、Si/ゲート酸化物/ポリ−Si/ケイ化物/ハードマスク/ARCから構成でき、ここでケイ化物は、典型的には、WSixであり、ARCは、有機又は無機(SiONx)とすることができ、ハードマスクは、Siの酸化物、窒化物、又はオキシナイトライドとすることができる。別のこのようなスタックは、Si/ゲート誘導体/ポリ−Si/WN/W/ハードマスク/ARCとすることができ、ここでゲート誘導体は、SiO2、窒化SiO2、又はHfO2、ZrO2若しくはAl23のような多くの新しい所謂高k材料のいずれか1つとすることができる。多くの場合、ARC層の代わりに又はこれ(の上部)に加えて、フォトレジストマスクを用いることが一般的である。時には、フォトレジストマスクを用いてハードマスクが形成される場合には、ARC層が除去されることもある。ゲート定義のためだけに、これらのスタックには多数の変形が存在する。同様に、多数の変形は、隔離、貯蔵、及び相互接続に対してトレンチを定める際に、及び一方の層から他方の層への相互接続に対してコンタクト及びバイアを定める際にも見出される。
このような複雑なスタックをエッチングする際には、材料を選択的にエッチングし、該スタックの全体を通して緊密に定められたプロファイル又は形状を維持することが重要である。例えば、ゲートエッチングにおいては、スタックの仕様は、損傷されることもエッチングされることもないように、ゲート誘電体に対して高い選択性をもつ状態で、垂直すなわち90度であることが典型的である。浅いトレンチエッチングにおいては、トレンチの側壁角度を注意深く制御しなければならない。トレンチの側壁角度は、典型的には、垂線から10度乃至15度だけ異なる場合がある。さらに、浅いトレンチエッチングにおいては、トレンチの上部及び底部の形状は、所謂上部及び底部の丸み付けといわれる重要なデバイスパラメータになる。多くのデバイス設計においては、ポリ−Siは、二重ドープされることになり、すなわち、チップ内には、nドープされたポリ−Siが用いられる領域、及びpドープされたポリ−Siが用いられる他の領域がある。このような場合には、一定のエッチング速度及びプロファイルを、両方の形式のドープされたポリ−Siについて維持することが重要である。チップ内の別の変形は線密度であり、幾つかの領域は、高密度な間隔で配置された線を有し、他の領域では、線はより隔離されている。ここでも、エッチング速度及びプロファイルは、チップの高密度領域と隔離領域との間でできるだけ同一にすることが重要である。
半導体デバイス製造のために薄膜をエッチングする際には、ハードマスクか又はソフトマスクであるかに関係なく、マスキング材料区域において大きな変化に遭遇することが一般的である。こうした変化は、プロファイル角度、下にある材料に対する選択性、及びウエハ内の一様性のような、エッチングされた膜のプロファイルを改変することになる。ガスの組成、合計流量、rf出力などのようなレシピパラメータを変化させることによって、開口領域内の変化を補償するのが一般的である。
上述の場合の各々においては、ウエハの温度は、重要なレシピパラメータである。エッチング及び堆積速度は、温度に依存するが、こうした温度依存性が、すべて同じであるとは限らない。したがって、ウエハの全体的な温度を調整することにより、等密度プロファイルの差及びエッチング速度の差を最小にすることが可能である。同様に、開口領域の依存性、膜ごとの選択性、及びドープ依存性は、ウエハの全体的な温度を変化させることにより補償することができる。本発明の1つの特定の実施形態によると、ウエハのプロファイルを制御する方法は、プロファイル、重要寸法、及び選択性を最適化する複雑なスタックのエッチング中に、ウエハの温度を段階的に変化させることによるものである。このような温度変化を実用的なものにするためには、例えば、静電チャックといった高速応答ウエハ基板ホルダが、全体的な処理能力の劣化を防止するのに用いられることになる。一実施形態によれば、温度は、定常状態にはならないが、温度が1つの状態から別の状態に移行する間の処理は、同様の利益をもたらす。ウエハの温度は、温度を、エッチング時間に対して短い時間的尺度の中で変化させるのに用いられる任意の手段により制御できる。一例は、処理されているウエハと静電チャック(ESC)との間のヘリウム圧を制御することである。別の例は、ESC自体にヒータを設けて、該ヒータを用いてウエハの温度を能動的に制御することである。ウエハの温度制御工程については、以下により詳細に説明する。図1及び図2は、本発明の1つの特定の実施形態によるエッチング工程を実行するのに用いられるエッチング装置の2つの例を示す。
図1は、本発明の1つの特定の実施形態によるエッチング方法を実行するのに用いられるエッチング装置のブロック図である。図示される誘導結合プラズマエッチングシステム100は、半導体デバイスの処理及び製造に用いることができる。誘導結合プラズマ処理システム100は、その中にプラズマチャンバ104を有するプラズマ反応器102を含む。変圧器結合電力(TCP)コントローラ106及びバイアス電力コントローラ108はそれぞれ、TCP電源110と、プラズマチャンバ104内に生成されたプラズマに影響を及ぼすバイアス電源112とを制御する。
TCP電力コントローラ106は、TCP適合ネットワーク114によって調整される無線周波数(RF)信号をプラズマチャンバ104の近くに配置されたTCPコイル116に供給するように構成されたTCP電源110に対する設定点を設定する。RF透明窓118は、典型的には、エネルギがTCPコイル116からプラズマチャンバ104に移るのを可能にしながら、該TCPコイル116をプラズマチャンバ104から分離するために設けられている。
バイアス電力コントローラ108は、バイアス適合ネットワーク120によって調整されるRF信号を、プラズマ反応器104内に配置される電極122に供給するように構成されたバイアス電源122に対する設定値を設定し、該プラズマ反応器は、処理されている半導体ウエハのような基板124を受け取るようにされた電極122上に直流(DC)バイアスを生成する。
上流側にある質量流量コントローラのマニホルドのようなガス供給機構126は、典型的には、製造工程に必要とされる適切な化学物質を、ガス源127からプラズマ反応器104の内部に供給する。排気マニホルド128が、ガス及び何らかの粒子状物質をプラズマチャンバ104内から除去する。プラズマチャンバ104内の圧力は、振り子式のものが多いスロットルバルブ130を用いることにより維持される。
温度コントローラ134は、ヒータ電源135を用いてチャック122内のヒータ136に対する電力を調節することにより、該チャック122の温度を制御する。ヒータの特性については、さらに以下に説明する。図1は、ヒータのための閉ループフィードバック制御の制御システムを示す。
プラズマチャンバ104においては、基板エッチングは、基板104を真空下でイオン化されたガス化合物(プラズマ)に曝すことにより達成される。ガスがプラズマチャンバ104の中に運ばれると、エッチング工程が始まる。TCPコイル116によって送給され、TCP適合ネットワーク110によって調整されるRF電力が、ガスをイオン化する。電極122によって送給され、バイアス適合ネットワーク120によって調整されるRF電力が、DCバイアスを基板124上に誘起させて、該基板124のイオン衝撃の方向及びエネルギを制御する。エッチング工程中は、プラズマが、基板124の表面と化学的に反応して、フォトレジストマスクによって覆われていない材料を除去する。
プラズマ反応器の設定値のような入力パラメータは、プラズマ処理においては根本的な重要性がある。プラズマチャンバ104内の実際のTCP電力量、バイアス電力、ガス圧力、ガス温度、及びガス流量は、処理条件に大きく影響を及ぼす。プラズマチャンバ104に送給される実際の電力の著しい変化は、中性のイオン化された粒子の密度、温度、及びエッチング速度のような他の工程変量パラメータの期待値を思いがけなく変化させることがある。
図2は、本発明の別の特定の実施形態によるエッチング方法を実行するのに用いられるエッチング装置のブロック図である。図2は、半導体デバイスの処理及び製造に用いられる容量結合プラズマエッチングシステム200を示す。容量結合プラズマ処理システム200は、その中にプラズマチャンバ204を有するプラズマ反応器202を含む。可変電源206は、プラズマチャンバ204内で生成されるプラズマ210に影響を及ぼす上方電極208に結合されている。
接地された下方電極212すなわちチャックが、処理されるべきウエハ214を支持する。本発明の1つの特定の実施形態によれば、チャックは、該チャックに埋め込まれた1つ又はそれ以上のヒータ216を含む。温度コントローラ218は、ヒータ216に結合されたヒータ電源220によってチャック212の温度を制御する。ヒータ及びチャックの特性については、さらに以下に説明する。
上流側にある質量流量コントローラのマニホルドのようなガス供給機構222が、典型的には、製造工程に必要とされる適切な化学物質を、ガス源223からプラズマ反応器204の内部に供給する。排気マニホルド224が、ガス及び何らかの粒子状物質をプラズマチャンバ204内から除去する。プラズマチャンバ204内の圧力は、振り子式のものが多いスロットルバルブ226を用いることにより維持される。
プラズマチャンバ200においては、ウエハのような基板のエッチングは、ウエハ214を真空下でイオン化されたガス化合物(プラズマ210)に曝すことにより達成される。ガスがプラズマチャンバ204の中に運ばれると、エッチング工程が始まる。可変電源206により送給されるRF電力がガスをイオン化する。電極208によって送給されるRF電力が、接地されたチャック212及びウエハ214に対するイオン衝撃を生じさせる。エッチング工程中は、プラズマ210が、ウエハ214の表面と化学的に反応して、フォトレジストマスクによって覆われていない材料を除去する。
図3は、本発明の一実施形態による加工物の温度を制御する装置を示す概略図である。ベース302又は熱交換器が、熱絶縁体304を支持する。平らであることが好ましい支持体306が、熱絶縁体304の上に取り付けられる。ヒータ308は、支持体306に埋め込まれている。ウエハのような加工物310は、支持体306の上に配設される。熱良導体312が、支持体306と加工物310との間に密接な熱的接触を形成する。熱良導体312は、好ましくはヘリウムのようなガスとすることができる。ヘリウム圧が、加工物310と支持体306との間の熱伝導を制御する。
一実施形態によると、ベース302は、好ましくはアルミニウムベースの冷却板の金属材料からなり、冷却/加熱流体ループのような通常の熱交換システムを通して比較的一定の温度に維持される。別の実施形態によれば、ベース302はまた、硝酸アルミニウムのような非金属材料からなることもできる。しかしながら、ベース302は、ヒータ308のない標準的な作動におけるより、大きな程度まで冷却されなければならない。例えば、ベース302の温度は、加工物310の所望の温度よりも10℃から50℃だけ低くすることができる。ベース302はまた、プラズマ加熱のための熱シンクを形成する。外側冷媒冷却装置(図示せず)を用いて、ベースプレートの温度を維持することができる。外側冷媒冷却装置によって除去される熱量及び冷媒の温度は、それぞれ2000W及び−20℃より低いものに制限することができる。ベース302はさらに、幾つかの穴又はキャビティ(図示せず)を有し、これらを通して、ヒータの電力線312その他の供給線が配設される。このような供給線は、ヒータ、センサ、高圧静電クランピングのための電力線を含むことができる。当業者であれば、供給線が前述のものに限定されないことを理解するであろう。
一実施形態によれば、熱絶縁体304は、支持体306とベース302との間で重要な熱インピーダンス遮断器として機能する。熱絶縁体304は、ポリマー、プラスチック、又はセラミックで作られた厚いRTV接着剤層からなることができる。しかしながら、熱絶縁体304の熱インピーダンス遮断器は、いくら過剰にしても、し過ぎることはなく、或いは、ウエハ310は、不十分に冷却されることになる。例えば、熱絶縁体は、約0.05W/mKから約0.20W/mKまでの範囲の熱伝導率を有することが好ましい。この場合の熱絶縁体304は、耐熱性要素としても、及び支持体306とベース302との間の接着剤としても機能する。さらに、熱絶縁体304は、プラズマとベース304との間に十分なRF結合が維持されるようでなければならない。また、熱絶縁体304は、層の上下に配置された異なる材料及び温度に起因する著しい熱的・機械的剪断に耐えなければならない。熱絶縁体304の厚さは、2mmより小さいものであることが好ましい。熱絶縁体304はさらに、ヒータの電力線312及その他の供給線のハウジング部分のためのベース302のキャビティに隣接する幾つかのキャビティ又はバイア(図示せず)を組み込む。
一実施形態によれば、支持体306は、セラミック材料からなる。セラミックは、例えば、アルミナのような非導電性材料とすることができる。支持体306の形状は、好ましくは、プラズマエッチングシステムによく用いられる通常のディスクからなることができる。加工物306は、通常の静電チャックであってもよいし、或いはウエハ310を下方に保持する、機械的クランプを有するセラミックであってもよい。一実施形態によれば、支持体306の厚さは、約2mmである。しかしながら、当業者であれば、他の厚さもまた好適であることを理解するであろう。別の実施形態によれば、支持体306の構成は、「ベースに結合された薄いディスク」形式のものであり、或いは、横方向の伝導が非常に高くなり、ヒータ入力が横方向に拡がって、効果的でないゾーン分離をもたらすことになる。支持体は、熱が局所的に放散されるようにしなければならない。
ヒータ308は、少なくとも1つの抵抗素子を含む。一実施形態によれば、ヒータ308は、クランプ電極平面の下にある支持体306に埋め込むことができ、例えば、対称的な又は任意の如何なる所望のパターンにも成形することができる。ヒータ308は、さらに、1つ又はそれ以上の平坦な加熱素子を有することもできる。各々の加熱素子は、独立して制御することができる加熱ゾーン又は領域を定める。多数のゾーンパターンは、支持体306に対する伝導冷却に対抗して作用する1つ又はそれ以上の平坦な加熱素子を有する。各々の加熱ゾーンに関連するセンサ309が、各々の加熱ゾーンの温度を測定し、信号を、図1のコントローラ134又は図2のコントローラ218のようなコントローラ又はコンピュータシステムに送り、個々の平坦な各々の加熱素子を監視し制御することができる。例えば、赤外線放射センサ又は熱電対センサのようなセンサを、いずれかのポートを通して取り付けて、加工物310から直接読み取ることができる。センサ309はまた、支持体306内に又はこれの裏面に取り付けてもよい。ヒータ308は、熱絶縁体304及びベース302内の開口部を通して配設された電力線312により駆動することができる。
一実施形態によれば、ヒータ308は、誘導ヒータからなる。別の実施形態によれば、ヒータ308は、クリプトンランプ又は石英ランプのような加熱ランプからなる。さらに別の実施形態によれば、ヒータ308は、冷却する又は加熱することができる熱電モジュールからなる。熱電モジュールがある場合には、ベース及び熱遮断器は任意となる。ヒータ308はさらに、抵抗加熱素子を含むこともできる。当業者であれば、支持体を加熱するには、多数の他の方法が存在することを理解するであろう。
図4Aは、本発明の1つの特定の実施形態によるウエハをエッチングする方法を示すフロー図である。この方法は、エッチング処理装置内でのウエハのエッチングを可能にする。エッチング処理装置は、ウエハを保持するチャックと、該チャックの温度を知らせる温度センサとを含むことができる。チャックは、ウエハと密接な熱コンタクト状態にある。チャックは、温度制御システムによって制御されるヒータを含むことができる。温度センサを温度制御システムに作動的に結合して、チャックの温度を選択可能な設定温度に維持することができる。402において、第1の設定温度及び第2の設定温度を選択する。404において、ウエハをチャック上に配置する。406において、チャックの温度を第1の設定温度に設定する。このことは、チャックを第1の設定温度まで冷却するか又は加熱することにより達成される。
408において、チャックの温度は、ウエハ工程時間中に第1の設定温度から第2の設定温度に移行される。本発明の1つの特定の実施形態によれば、チャックの温度は、ウエハ工程時間において、第1の設定温度から第2の設定温度に段階的に上昇させる又は低下させる段階的な方法により達成することができる。すなわち、ウエハが処理されている間に、チャックの温度が移行される。上記の工程は、ウエハ工程中の時間に渡り、幾つかの異なる設定温度を用いてチャック及びウエハの温度を操作するように一般化し、1つよりも多い温度プロファイルをもたらすことができる。
チャックの加熱又は冷却はまた、例えば、チャックに埋め込まれたヒータを用いて又はウエハとチャックとの間のヘリウム圧を変化することにより達成することもできる。
図4Bは、本発明の別の実施形態によりウエハをエッチングする方法を示すフロー図である。402において、第1の設定温度及び第2の設定温度を選択する。404において、ウエハをチャック上に配置する。406において、チャックの温度を第1の設定温度に設定する。410において、次に、ウエハを第1の設定温度で、第1の時間だけ処理する。412において、第1の時間の終了後に、チャックの温度を第2の設定温度に修正する。本発明の別の特定の実施形態によれば、エッチングプラズマ反応器を止めて、温度がプラズマ段階間で降下するようにできる。414において、ウエハを後続して第2の設定温度で第2の時間だけ処理する。
上記の工程は、幾つかの異なる設定温度を用いてチャック及びウエハの温度を操作するように一般化し、1つよりも多い温度プロファイルをもたらすことができる。このようにして、チャックの温度は、設定温度に応じて上昇させるか又は低下させることができる。
図4Cは、本発明のさらに別の実施形態によりウエハをエッチングする方法を示すフロー図である。静電チャック(ESC)は、異なる熱ゾーンに分割できる。例えば、チャックに埋め込まれたヒータは、チャックの内側部分を加熱する第1のヒータ部品と、チャックの外側部分を加熱する第2のヒータ部品とから構成することができる。416において、異なる設定温度を設定することができる。異なる設定温度は、第1の内側及び外側設定温度と、第2の内側及び外側温度とを含むことができる。418において、エッチングされるべきウエハをESC上に配置する。420において、チャックの内側部分の温度を第1の内側設定温度に設定し、チャックの外側部分の温度を第1の外側設定温度にまで加熱する。422において、ウエハを第1の内側及び外側設定温度で第1の時間だけ処理する。424において、次に、チャックの内側部分の温度を第2の内側設定温度に修正し、次いでチャックの外側部分の温度を第2の外側設定温度に修正する。426において、次に、ウエハを第2の内側及び外側設定温度で第2の時間だけ処理する。
上記の工程は、幾つかの異なる内側及び外側設定温度を用いてチャック及びウエハの温度を操作するように一般化し、1つよりも多い温度プロファイルをもたらすことができる。このようにして、チャックの温度は、設定温度に応じて上昇させるか又は低下させることができる。
図5は、本発明の1つの特定の実施形態によるエッチング工程中のウエハの温度変化についてのグラフである。この例は、エッチング工程中のチャックの温度変化を示すものである。この特定のシナリオでは、エッチング工程中に温度を低下させて、トレンチ底部においてプロファイルの丸み付けを増加させる。調整可能なESCを用いると、両方の加熱ゾーンにおけるチャックの温度は、等しく又は別々に、単一の又は二重の目標温度に移行させることができる。高温で開始されるエッチング工程は、次いで、一連の段階に渡り、チャックの温度を、所望のSTI深さが到達されるまで、段階的に降下させる。例示目的のために、図6Aは、通常の方法によりエッチングされたウエハ内の通常のトレンチの断面図である。これと対照的に、図6Bは、本発明の1つの特定の実施形態によりエッチングされたウエハ内のトレンチの断面図である。
本発明は、トレンチのエッチング中に静電チャック(ESC)の温度を変化させることにより、トレンチ底部のプロファイルの丸み付けを増加させるのに用いることができる。調整可能なESCを用いると、両方の加熱ゾーンにおけるチャックの温度は、等しく又は別々に、単一の又は二重の目標温度に移行させることができる。所望のSTIのプロファイル又は底部の丸み付けを達成することは、時には困難なものとなる。第2に、各々のカスタマウエハは、首尾よいSTI及び/又はプロファイルの丸み付けレシピが、一方のウエハから他方のウエハに移動するのを阻止する異なる露出区域を有する。従来の底部丸み付けレシピは、制限された成果しかなく、時には、プロファイルの不連続性を生成する。さらに、これらの過去の底部丸み付けレシピは、プロファイルの丸み付けを行うことができなかった。プロファイルの丸み付けは、トレンチがトレンチ底部の手前でうまく丸み付けられ(内側に湾曲し)始めるところにある。首尾よいプロファイルの丸み付けは、上部(直線的なプロファイル部分)から底部への滑らかな遷移を有し、トレンチ底部においてプロファイルが不連続になること又は角部のない、一定して増加する曲率を示す。
本発明の1つの特定の実施形態によれば、現在開示されている工程はまた、調整可能なESCエッチング装置を用いて実行することもできる。ほとんどすべてのSTI化学物質においては、チャックの温度を低下させることは、高密度トレンチ及び等トレンチの両方のプロファイルのテーパを増加させる。より低い温度は、表面付着係数を増加させ、側壁の再堆積を増加させる。トレンチ工程(すなわち、プラズマがオン)中にチャック温度を低下させることは、側壁の再堆積を連続的に増加させることにより、トレンチのエッチング中にプロファイルテーパが連続的に増加することになる。用いられる温度の範囲は、かなりばらつきがある。本発明の別の特定の実施形態によれば、調整可能なESCはまた、該ESCの内側及び外側温度ゾーンを別個に異なる温度に移行させることを可能にし、ウエハ中心からウエハ縁部までの深さ及び/又はプロファイルの一様性を調節及び改善することができる。底部のプロファイル並びに角部もまた、ESCの温度を修正することにより丸み付けされる。
本発明の別の実施形態によれば、本発明は、材料のドライエッチング処理中に基板温度を動的に制御することにより、進歩した半導体形態のプロファイル(酸化物及びフォトレジスト)の制御を可能にする。ウエハの温度は、微視的形態上で生じる表面反応を制御し、表面に沿った種の拡散、該表面の種の吸着/脱着特性、及び該表面上の化学反応速度を調整する。これらの機構によって、形態の微視的寸法は、ウエハの温度を変えることにより、単一の工程段階中に調整することができる。温度を用いて調整することができる酸化物のプロファイル特性には、反り、条線形成、及び酸化物のエッチング速度が含まれる。調整することができるフォトレジストのプロファイル特性には、レジストのファセット形成及び堆積の一様性が含まれる。
関連する適用例には、高アスペクト比コンタクト(HARC)エッチングと、自己整合コンタクト(SAC)エッチングとが含まれる。本発明は、例えば、従来の248nmレジストと、化学物質をエッチングするのにより敏感であり、したがって、条線形成の形成をより可能にする傾向がある新しい193nmレジストの両方を用いて実行できる。最適なプロファイル制御及び不完全なエッチングの最小化のためには、HARCエッチングは、エッチング工程の第1部の間では高温が必要になり、第2部の間には低温が必要になる。高温は、ウエハ表面上のポリマーの移動度を増加させることにより、HARCエッチングにおける反り及び条線形成を排除するように働く。SACエッチングは、第1部の間は高い酸化物エッチング速度のための低温、第2の部の間は最適な窒化ケイ素の選択性のための高温といった反対の温度方式を必要とする。
例示目的のために、以下は、容量結合式二重周波数プラズマエッチング装置におけるエッチング工程中にウエハの温度を変えることにより、コンタクトプロファイルを制御する方法の一例である。200mmの適用例の場合には、3000Wの総電力及び50mTの工程圧力を用いることができる。総ガス量は、100sccmと600sccmとの間で流れることができる。
高アスペクト比コンタクト(HARC)
最適なプロファイル制御及び不完全なエッチングの最小化のためには、エッチングの第1部分の間は高温で、第2部分の間は低温で、HARCエッチングを実行することができる。高温は、ウエハ表面上のポリマーの移動度を増加させることにより、及び/又は、反応性種の付着係数を低下させることにより、HARCエッチングにおける反り及び条線形成を最小にするように働く。エッチングの高温部分の持続時間は、反りの最大深さ(通常は1μmよりも小さい)より大きい深さに達するのに十分なだけ長くすることができる。例えば、2.5μmの深さに対して0.18μmの形態をエッチングするのに用いられる典型的なHARC工程の化学物質は、次のようになる。
50mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/20 T He/270s
多くの誘電体膜の場合、この工程は、コンタクトの上面の下のプロファイルに、約5000Aから約7000Aの望ましくない反りを生成することがある。反りを最小にするためには、エッチングは、高温でのものと、低温でのものとの2つの段階で行うことになる。高温は、He圧力を低下させることにより達成され、その上で2段階工程は、次のようになる。
50mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/10 T He/200s
50mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/20 T He/70s
工程の第2の段階は、低温で行われ、コンタクトホールの深いところでエッチングが停止するのを避ける。ウエハの温度を20℃に上昇させることにより、反りは、元のコンタクト寸法の約40%から元のコンタクト寸法の5%より少なくなるまで減少した。
自己整合コンタクト(SAC)
SACエッチングは、特に酸化物の高いエッチング速度のためのエッチングの第1部分の間の低温と、最適な窒化ケイ素の選択性のための第2部分の間の高温とをもつ、HARCエッチングの逆の温度方式を必要とする。この手法は、窒化ケイ素が高温ではよりゆっくりとエッチングされるという事実を利用するものである。
1つのSAC工程は、次のようになる。
60mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/8 T He/110s
8トルのHe圧力は、比較的高温のウエハと、良好な窒化物の選択性とを生成するが、酸化残留物をコンタクトホールの底部に残す。逆の手法を用いて、低温でエッチングが行われる場合には、酸化物は、完全にエッチングされることになるが、窒化物の選択性は不良になることがある。次のような2段階手法を用いると、
60mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/20 T He/50s
60mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/8 T He/60s
酸化残留物は、完全にエッチングされ、良好な窒化物の選択性が維持されることになる。ウエハ全体が20トルのHeでエッチングされる場合には、窒化物の選択性は、1/3倍だけ劣化する。窒化物のエッチング速度は、エッチングの第2の段階中に30℃のより高い温度を用いることにより約60%だけ減少する。
例えば、金属スタックに対して開いているARCからの温度を変化させること、又は、単一チャンバ内で開いている面積がロットごとに変化するときに、ウエハ温度を調節することは実施されていなかった。通常は、異なる温度で作動する専用チャンバが用いられていた。処理能力の低下及び所有に伴う費用の増加のため、スタック内の各層について異なる温度で作動する専用チャンバを用いることは非現実的である。しかし、層ごとに温度を変化させることは、その他の手段によっては単純に達成できないプロファイル及び選択性の達成を可能にする。チャンバを各々の温度専用にする必要がないことにより、半導体製造業者は、資本稼動率の融通性を得て、製造において製品混合の変化に合わせて迅速に調整することができる。このことは、すべての機械を、開いた面積がどのような場合にも、又は異なる温度で作動する専用チャンバを必要とする装置配置における他のどのような変化にも用いることができるため、潜在的に、必要な機械がより少なくなり、費用節約をもたらす。
本発明の実施形態及び適用例が図示され述べられたが、この開示の利点を得た当業者であれば、本発明の内容から離れることなく、上述されたものよりさらに多くの修正が可能であることを理解するであろう。したがって、本発明は、特許請求の範囲の精神以外に制限されるものではない。

Claims (10)

  1. エッチング処理装置内でウエハを段階的にエッチングする方法であって、
    前記エッチング処理装置が、ウエハを保持するチャックと、少なくとも該ウエハの第1の領域及び第2の領域における温度を知らせる複数の温度センサとを備え、該チャックが静電チャックであり、セラミック材料からなり、ディスク形状であり、ヒータが、前記チャックに埋め込まれ、該ヒータが前記第1の領域を加熱するための第1の抵抗ヒータと前記第2の領域を加熱するための第2の抵抗ヒータとを備え、低温プレートが、一定の温度に維持され、接着剤層で前記チャックに接着され、該第1のヒータ及び第2のヒータは温度制御システムにより制御され、該複数の温度センサが該温度制御システムに作動的に結合されて、該チャックの温度を選択可能な設定温度に維持するものであり、
    前記方法は、
    第1の設定温度、第2の設定温度、第3の設定温度及び第4の設定温度を選択する工程、
    ウエハをチャック上に配置する工程、
    第1の時間の間、前記ウエハの第1の領域を、前記第1の設定温度まで加熱し、前記ウエハの第2の領域を、前記第2の設定温度まで加熱し、トレンチをエッチングする工程、
    前記ウエハの温度を前記第1の設定温度から前記第3の設定温度に、また前記第2の設定温度から前記第4の設定温度に移行させる工程、及び、
    前記ウエハの前記第1の領域及び前記第2の領域を前記第3の設定温度及び前記第4の設定温度に維持しながら、第2の時間の間、トレンチをエッチングする工程
    を含むことを特徴とする方法。
  2. 前記第1の設定温度及び第2の設定温度が、それぞれ前記第3の設定温度及び第4の設定温度より高い請求項1に記載の方法。
  3. 前記第1の設定温度及び第2の設定温度が、それぞれ前記第3の設定温度及び第4の設定温度より低い請求項1に記載の方法。
  4. 前記エッチング処理装置は、誘導結合プラズマ反応器若しくは容量結合プラズマ反応器である請求項1に記載の方法。
  5. 前記移行させる工程が、
    前記ウエハの前記第1の領域を前記第3の設定温度に加熱する工程、及び、
    前記ウエハの前記第2の領域を前記第4の設定温度に加熱する工程
    をさらに含む請求項1に記載の方法。
  6. 前記移行させる工程が、
    前記ウエハの前記第1の領域を前記第3の設定温度に冷却する工程、及び、
    前記ウエハの前記第2の領域を前記第4の設定温度に冷却する工程
    をさらに含む請求項1に記載の方法。
  7. 前記第1の設定温度、前記第2の設定温度、前記第3の設定温度及び前記第4の設定温度を選択して、前記トレンチのプロフィール角度を制御する工程をさらに含む請求項1に記載の方法。
  8. 前記第1の設定温度、前記第2の設定温度、前記第3の設定温度及び前記第4の設定温度を選択して、エッチングされた前記トレンチの側壁の角度を鉛直の15度以内に制御する工程をさらに含む請求項1に記載の方法。
  9. 前記第1の設定温度、前記第2の設定温度、前記第3の設定温度及び前記第4の設定温度を選択して、エッチングされた前記トレンチの上部と底部を丸み付けする工程をさらに含む請求項1に記載の方法。
  10. 前記第1の設定温度、前記第2の設定温度、前記第3の設定温度及び前記第4の設定温度を選択して、エッチングされた前記トレンチの反りを排除する工程をさらに含む請求項1に記載の方法。
JP2015227250A 2002-04-02 2015-11-20 調整可能な静電チャックのための可変温度方法 Expired - Lifetime JP6170540B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36977302P 2002-04-02 2002-04-02
US60/369,773 2002-04-02
US10/235,453 2002-09-04
US10/235,453 US6921724B2 (en) 2002-04-02 2002-09-04 Variable temperature processes for tunable electrostatic chuck

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010113921A Division JP5881277B2 (ja) 2002-04-02 2010-05-18 調整可能な静電チャックのための可変温度方法

Publications (2)

Publication Number Publication Date
JP2016096341A JP2016096341A (ja) 2016-05-26
JP6170540B2 true JP6170540B2 (ja) 2017-07-26

Family

ID=28456852

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2003582806A Expired - Fee Related JP4698951B2 (ja) 2002-04-02 2003-03-25 調整可能な静電チャックのための可変温度方法
JP2010113921A Expired - Fee Related JP5881277B2 (ja) 2002-04-02 2010-05-18 調整可能な静電チャックのための可変温度方法
JP2015227250A Expired - Lifetime JP6170540B2 (ja) 2002-04-02 2015-11-20 調整可能な静電チャックのための可変温度方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2003582806A Expired - Fee Related JP4698951B2 (ja) 2002-04-02 2003-03-25 調整可能な静電チャックのための可変温度方法
JP2010113921A Expired - Fee Related JP5881277B2 (ja) 2002-04-02 2010-05-18 調整可能な静電チャックのための可変温度方法

Country Status (11)

Country Link
US (1) US6921724B2 (ja)
EP (1) EP1493180B1 (ja)
JP (3) JP4698951B2 (ja)
KR (1) KR100921356B1 (ja)
CN (1) CN1323427C (ja)
AT (1) ATE362652T1 (ja)
AU (1) AU2003233432A1 (ja)
DE (1) DE60313861T2 (ja)
IL (2) IL164363A0 (ja)
TW (1) TWI281212B (ja)
WO (1) WO2003085721A2 (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
FR2850790B1 (fr) * 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040173311A1 (en) * 2003-03-04 2004-09-09 Tomoyoshi Ichimaru Plasma processing apparatus and method
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7202178B2 (en) * 2004-12-01 2007-04-10 Lexmark International, Inc. Micro-fluid ejection head containing reentrant fluid feed slots
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
WO2008051369A2 (en) * 2006-10-25 2008-05-02 Axcelis Technologies, Inc. Low-cost electrostatic clamp with fast declamp time and the manufacture
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP2008277499A (ja) * 2007-04-27 2008-11-13 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP5433171B2 (ja) * 2008-06-16 2014-03-05 株式会社日立ハイテクノロジーズ 試料温度の制御方法
JP2010050046A (ja) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp プラズマ処理装置
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
TWI395289B (zh) * 2009-05-15 2013-05-01 Advanced Micro Fab Equip Inc An electrostatic chuck device, a plasma processing device, and a method of manufacturing an electrostatic chuck device
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8642480B2 (en) 2009-12-15 2014-02-04 Lam Research Corporation Adjusting substrate temperature to improve CD uniformity
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
NL2008751A (en) 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US8668837B2 (en) * 2011-10-13 2014-03-11 Applied Materials, Inc. Method for etching substrate
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP5933222B2 (ja) * 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
CN202979450U (zh) * 2011-12-31 2013-06-05 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
JP5975755B2 (ja) 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
JP6217233B2 (ja) * 2013-08-21 2017-10-25 住友電気工業株式会社 半導体装置の製造方法
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9437472B2 (en) * 2014-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor line feature and manufacturing method thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6407694B2 (ja) 2014-12-16 2018-10-17 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN107636817B (zh) * 2015-05-22 2021-08-27 应用材料公司 方位可调整的多区域静电夹具
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10707110B2 (en) * 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10690414B2 (en) 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN109473381A (zh) * 2018-10-31 2019-03-15 上海华力微电子有限公司 湿法刻蚀清洗设备和方法
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment
JP7351865B2 (ja) * 2021-02-15 2023-09-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JPH05136095A (ja) * 1991-11-14 1993-06-01 Nec Corp ドライエツチング装置
JP2786571B2 (ja) * 1992-07-07 1998-08-13 日本碍子株式会社 半導体ウエハー加熱装置
JPH06283594A (ja) * 1993-03-24 1994-10-07 Tokyo Electron Ltd 静電チャック
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
JPH1014266A (ja) * 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6103585A (en) * 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
JP3892609B2 (ja) * 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
JP2000260720A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
US6387798B1 (en) * 2001-06-25 2002-05-14 Institute Of Microelectronics Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile

Also Published As

Publication number Publication date
JP2010187023A (ja) 2010-08-26
KR20050004834A (ko) 2005-01-12
AU2003233432A1 (en) 2003-10-20
US20030186545A1 (en) 2003-10-02
JP5881277B2 (ja) 2016-03-09
DE60313861D1 (de) 2007-06-28
JP2016096341A (ja) 2016-05-26
TWI281212B (en) 2007-05-11
EP1493180B1 (en) 2007-05-16
JP2005522051A (ja) 2005-07-21
IL164363A0 (en) 2005-12-18
JP4698951B2 (ja) 2011-06-08
TW200406846A (en) 2004-05-01
IL164363A (en) 2010-02-17
WO2003085721A3 (en) 2003-12-18
CN1647259A (zh) 2005-07-27
KR100921356B1 (ko) 2009-10-13
EP1493180A2 (en) 2005-01-05
CN1323427C (zh) 2007-06-27
US6921724B2 (en) 2005-07-26
ATE362652T1 (de) 2007-06-15
DE60313861T2 (de) 2008-01-17
WO2003085721A2 (en) 2003-10-16

Similar Documents

Publication Publication Date Title
JP6170540B2 (ja) 調整可能な静電チャックのための可変温度方法
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
KR0170557B1 (ko) 애싱과 에칭을 포함한 반도체장치의 제조방법
US8722547B2 (en) Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US7838434B2 (en) Method of plasma etching of high-K dielectric materials
US6806095B2 (en) Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
TWI323011B (en) Method for etching having a controlled distribution of process results
EP1422751A2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
KR100497609B1 (ko) 실리콘 질화막 식각방법
KR100899244B1 (ko) 프로세스 결과들의 제어되는 분포를 갖는 에칭을 위한 방법
KR100585183B1 (ko) 반도체소자의 제조방법
CN111524807A (zh) 基板处理方法和基板处理装置
US20050098536A1 (en) Method of etching oxide with high selectivity
JPH10242118A (ja) 半導体装置の製造装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170630

R150 Certificate of patent or registration of utility model

Ref document number: 6170540

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term