KR100921356B1 - 조정가능한 정전 척을 위한 가변 온도 프로세스 - Google Patents

조정가능한 정전 척을 위한 가변 온도 프로세스 Download PDF

Info

Publication number
KR100921356B1
KR100921356B1 KR1020047015667A KR20047015667A KR100921356B1 KR 100921356 B1 KR100921356 B1 KR 100921356B1 KR 1020047015667 A KR1020047015667 A KR 1020047015667A KR 20047015667 A KR20047015667 A KR 20047015667A KR 100921356 B1 KR100921356 B1 KR 100921356B1
Authority
KR
South Korea
Prior art keywords
set temperature
wafer
temperature
etching
selecting
Prior art date
Application number
KR1020047015667A
Other languages
English (en)
Other versions
KR20050004834A (ko
Inventor
톰 에이. 캠
리차드 곳스초
스티브 리
크리스 리
요꼬 야마구찌
바히드 바헤디
아아론 엡플러
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050004834A publication Critical patent/KR20050004834A/ko
Application granted granted Critical
Publication of KR100921356B1 publication Critical patent/KR100921356B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

웨이퍼 에칭용 에칭 프로세서는 웨이퍼를 유지하는 척 및 웨이퍼의 온도를 보고하는 온도 센서를 포함한다. 척은 온도 제어 시스템에 의해 제어되는 히터를 포함한다. 온도 센서는 온도 제어 시스템에 동작적으로 결합되어 선택가능한 설정 온도에서 척의 온도를 유지한다. 제 1 및 제 2 설정 온도가 선택된다. 웨이퍼는 척상에 배치되고 제 1 설정 온도로 설정된다. 이후 웨이퍼는 제 1 설정 온도에서의 제 1 시간 주기 동안과 제 2 설정 온도에서의 제 2 시간 주기 동안 처리된다.
웨이퍼 에칭용 에칭 프로세서, 웨이퍼 에칭 방법, 플라즈마 에칭 및 증착

Description

조정가능한 정전 척을 위한 가변 온도 프로세스{VARIABLE TEMPERATURE PROCESSES FOR TUNABLE ELECTROSTATIC CHUCK}
교차참조
본 출원은, 여기에 공동으로 양도된, 발명자 Tom Kamp, Richard A. Gottscho, Steve Lee, Chris Lee, Yoko Yamaguchi, Vahid Vahedi, Aaron Eppler의 이름으로, 2002년 4월 2일자로 출원된 미국 가특허 출원 일련번호 제60/369,773호의 혜택을 주장한다.
본 발명은 반도체 제조에 관한 것이다. 특히, 본 발명은 플라즈마 에칭 및 증착에 관한 것이다.
집적 회로 제조시, 반도체 웨이퍼는 실리콘 다이옥사이드, 실리콘 질화물, 또는 금속과 같은 재료의 하나 이상의 층들로 완전하게 코팅될 수도 있다. 그 후, 원치않는 재료는 예컨대, 마크스를 통한 에칭과 같은 하나 이상의 에칭 처리를 이용하여 선택적으로 제거된다. 때때로 다양한 패턴이 반도체 표면상에 직접 에칭된다. 예컨대, 원형 홀 또는 그루브는 트렌치 커패시터가 형성되는 곳에 형성될 수도 있다. 대부분의 집적 회로 에칭은 선택된 영역의 재료만을 제거하고, 일련의 관련 처리 단계를 이용하여 수행된다. 먼저, 반도체 웨이퍼는 접착성 및 내에칭성 포토레지스트로 코팅된다. 다음으로, 포토레지스트는 선택적으로 제거되어 원하는 패턴이 된다. 마스크 패턴을 하부 재료로 전사하도록 에칭이 수행된다. 그 후, 포토레지스트는 제거(박리)되고, 웨이퍼는 세정된다.
가능한 종류의 에칭은 습식 화학적, 전기화학적, 플라즈마 에칭, 반응성 이온 에칭, 이온 빔 밀링(milling), 스퍼터링 및 고온 증기 에칭을 포함한다. 현재 플라즈마 에칭은 반도체 메모리 디바이스의 제조와 같은 미세한-기하학적 애플리케이션에 통상적으로 이용된다. 반도체 집적 회로의 집적 밀도가 증가함에 따라, 반도체 웨이퍼상에 딥(deep) 트렌치, 컨택트 홀, 및 개구와 같은 에칭된 피쳐 (feature) 의 특정 형상을 형성하기 위한 이러한 에칭 처리의 제어성을 개선하는 것이 바람직하다.
<발명의 요약>
웨이퍼 에칭용 에칭 프로세서는 웨이퍼를 유지하기 위한 척(chuck) 및 웨이퍼의 온도를 알려주는 온도 센서를 포함한다. 척은 온도 제어 시스템에 의해 제어되는 히터를 포함한다. 온도 센서는 온도 제어 시스템에 동작적으로 결합되어 선택가능한 설정 온도로 척의 온도를 유지시킨다. 제 1 설정 온도 및 제 2 설정 온도가 선택된다. 웨이퍼는 척상에 위치되고, 제 1 설정 온도로 설정된다. 다음에 웨이퍼는 제 1 설정 온도에서 제 1 시간 주기 동안 처리되고, 제 2 설정 온도에서 제 2 시간 주기 동안 처리된다.
본 명세서에 통합되고 그 일부를 구성하는 첨부 도면은 상세한 설명과 함께 하나 이상의 실시형태를 설명하고, 본 발명의 원리 및 그 구현을 설명하게 된다.
삭제
도 1 은 본 발명의 일 특정 실시형태에 따른 에칭 방법을 수행하는데 이용되는 에칭 장치의 블록도이다.
도 2 는 본 발명의 다른 특정 실시형태에 따른 본 발명의 에칭 방법을 수행하는데 이용되는 에칭 장치의 블록도이다.
도 3 은 본 발명의 일 특정 실시형태에 따른 에칭 방법을 수행하는데 이용되는 척의 블록도이다.
도 4a는 본 발명의 일 특정 실시형태에 따른 웨이퍼를 에칭하기 위한 방법을 도시하는 순서도이다.
도 4b는 본 발명의 다른 특정 실시형태에 따른 웨이퍼를 에칭하기 위한 방법을 도시하는 순서도이다.
도 4c는 본 발명의 또 다른 특정 실시형태에 따른 웨이퍼를 에칭하기 위한 방법을 도시하는 순서도이다.
도 5는 본 발명의 일 특정 실시형태에 따른 에칭 처리 도중의 웨이퍼의 온도 변화에 대한 그래프이다.
도 6a는 종래의 에칭된 웨이퍼내의 트렌치의 단면도이다.
도 6b는 본 발명의 하나의 특정한 실시형태에 따라 에칭된 웨이퍼 내의 트랜치의 단면도이다.
본 발명의 실시형태는 조정가능한 정전 척을 위한 가변 온도 처리의 내용으로 본 명세서에 설명된다. 당업자는, 본 발명의 다음의 상세한 설명이 단지 예시적이고 결코 한정하는 것으로 의도되는 것은 아니라는 것을 알 수 있다. 본 발명의 다른 실시형태는 본 명세서에서의 장점을 경험하게 되는 당업자에게 용이하게 이해된다. 첨부 도면에서 도시된 바와 같이 본 발명의 구현이 참조로 상세하게 설명된다. 동일한 참조 표시는 동일하거나 유사한 부분을 나타내기 위해 전체 도면 및 다음의 상세한 설명에 걸쳐 이용된다.
명확함을 위해, 여기 개시된 구현례의 모든 일상적인 특징이 여기 도시되고 설명되는 것은 아니다. 물론, 이러한 실제적인 구현의 개발중에, 다양한 특정 구현에 대한 결정은 애플리케이션 및 사업 관련 제한에 부합하는 것과 같은 개발자의 특정 목적을 달성하기 위해 행해져야 하고, 이러한 특정 목적은 하나의 구현에서 다른 구현 및 어느 한 개발자에서 다른 개발자에 따라서는 상이할 수 있음을 알 수 있다. 또한, 이러한 개발 노력은 복잡하고, 시간이 필요하지만, 본 명세서의 장점을 경험하게 되는 당업자의 통상의 공학적인 작업일 뿐이다.
반도체 제조시에, 박막의 스택을 이용하는 것은 널리 알려져 있다. 예컨대, DRAM 제조에 이용되는 전형적인 트랜지스터 게이트 스택은, Si/게이트 산화물/폴리-Si/실리사이드/하드-마스크/ARC로 구성될 수도 있고, 여기서 실리사이드는 전형적으로 WSix 일 수 있고, ARC는 유기 또는 무기(SiONX)일 수 있고, 하드-마스크는 Si의 산화물, 질화물, 또는 산화질화물일 수 있다. 다른 스택은, Si/게이트 유전체/폴리-Si/WN/W/하드-마스크/ARC가 될 수도 있고, 여기서 게이트 유전체는 SiO2, 질화 SiO2, 또는 HfO2, ZrO2, 또는 Al2O3 등의 다수의 새로운 소위 고유전율 (high-k) 재료중의 어느 하나가 될 수 있다. 많은 경우에, ARC 층 대신에 또는 (그 상부에) 부가하여 포토레지스트 마스크를 이용하는 것이 일반적이다. 때때로, 하드 마스크가 포토레지스트 마스크를 이용하여 정의될 때, ARC층이 제거된다. 게이트 정의에서만 이러한 스택상에 다수의 변형이 있다. 유사하게, 격리, 저장 및 상호접속을 위해 트렌치를 정의하고, 하나의 층에서 다른 층으로의 상호 접속을 위한 콘택트 및 비아를 정의하는데 많은 변형이 있음을 알게 된다.
이러한 복잡한 스택을 에칭할 때, 재료들을 선택적으로 에칭하고, 스택 전체에 걸쳐 미리 규정된 프로파일 또는 형상을 기밀하게 유지시키는 것은 중요하다. 예컨대, 게이트 에칭시에, 게이트 유전체에 대해 높은 선택도를 갖도록 스택 사양을 수직 또는 90도로 하여 손상되거나 에칭되지 않도록 하는 것이 일반적이다. 얕은 트렌치 에칭시에, 트렌치 측벽 각도는 신중하게 제어되어야만 한다. 트렌치 측벽 각도는 전형적으로 10 내지 15도 만큼 수직으로부터 벗어나게 될 수 있다. 게다가, 얕은 트렌치 에칭시에, 트렌치의 상부 및 하부 형상은 임계(critical) 디바이스 파라미터 - 소위 상부 및 하부 코너 라운딩(rounding)이 될 수 있다. 많은 디바이스 설계에서, 폴리-Si는 듀얼-도핑, 즉, 칩내부에 n-도핑된 폴리-Si가 이용된 영역 및 p-도핑된 폴리-Si가 이용된 다른 영역이 존재하게 될 수도 있다. 이러한 경우에, 두 가지 타입의 도핑된 폴리-Si에 대해 일정한 에칭 레이트 및 프로파일을 유지하는 것이 중요하다. 칩내부의 다른 변형은 라인(line) 밀도인데, 즉, 몇몇 영역은 밀집하게 이격된 라인을 갖고, 다른 영역은 더 격리된 라인을 갖는다. 또한, 에칭 레이트 및 프로파일이 칩의 밀집된 영역과 격리된 영역에 있어서 가능한 한 동일한 것이 중요하다.
반도체 디바이스 제조용 박막의 에칭시에, 보통, 하드 마스크 또는 소프트 마스크에 관계없이 마스킹 재료의 영역내에서 일반적으로 상당한 변형을 접하게 된다. 이러한 변형례는 프로파일 각도, 하부 재료에 대한 선택도, 및 웨이퍼내의 균일도와 같은 에칭된 막의 속성에 대한 변경을 가져온다. 개방 영역에서, 가스, 전체 플로우 레이트, RF 전력 등의 조합과 같은 레시피(recipe) 파라미터를 변경하여 변화에 대한 보상을 하는 것이 통상적이다.
전술한 각각의 경우에서, 웨이퍼 온도는 중요한 레시피 파라미터이다. 에칭 및 증착 레이트는 온도에 의존하지만 이러한 온도 의존성은 모두 동일한 것은 아니다. 따라서, 전체 웨이퍼 온도를 조절하여 등밀도의 프로파일 및 에칭 레이트 차이를 최소화하는 것이 가능하다. 유사하게, 개방 영역 의존성, 하나의 막의 다른 막에 대한 선택도, 및 도핑 의존성은 전체 웨이퍼 온도를 변경시킴으로써 보상될 수 있다. 본 발명의 하나의 특정 실시형태에 따르면, 웨이퍼의 프로파일을 제어하는 방법은 복합 스택의 에칭 도중에 단계적으로 웨이퍼의 온도를 변화시켜 프로파일, 임계 치수, 및 선택도를 최적화하는 것이다. 이러한 온도 변화를 실용적으로 하기 위해서는, 고속-응답 웨이퍼 기판 홀더, 예컨대, 정전 척이 이용되어 전체 쓰루풋의 저하를 회피하도록 이용될 수도 있다. 일 실시형태에 따르면, 온도는 안정적인 상태가 아니지만, 온도가 하나의 상태에서 다른 상태로 램핑하는 동안의 처리도 유사한 이점을 가져오게 된다. 웨이퍼 온도는 에칭 시간에 비해 짧은 시간 스케일내에 온도를 변화시키는데 이용되는 임의의 수단으로 제어될 수 있다. 그 하나의 예는 처리되는 웨이퍼와 정전 척(ESC)사이의 헬륨 압력을 제어하는 것이다. 또 다른 예는 ESC 자체내에 히터를 설치하고, 히터를 통해서 웨이퍼의 온도를 능동적으로 제어하는 것이다. 웨이퍼의 온도를 제어하는 처리는 이하 더 자세히 설명된다. 도 1 및 도 2는 본 발명의 하나의 특정 실시형태에 따른 에칭 처리를 수행하는데 이용되는 에칭 장치의 2개의 예를 도시한다.
도 1은 본 발명의 일 구체적 실시형태에 따른 에칭 방법을 수행하기 위해 사용되는 에칭 장치의 블록도이다. 도시된 유도 결합형 플라즈마 에칭 시스템(100)은 반도체 디바이스들의 처리 및 제조에 사용될 수 있다. 유도 결합형 플라즈마 프로세싱 시스템(100)은 플라즈마 챔버(104)를 갖는 플라즈마 반응기(102)를 포함한다. 변압기 결합 전력(TCP) 제어기(106) 및 바이어스 전력 제어기(108)는 플라즈마 챔버(104) 내에 생성된 플라즈마에 영향을 미치는 TCP 전원(110) 및 바이어스 전원 (112)을 각각 제어한다.
TCP 전력 제어기(106)는 TCP 매치 네트워크(114)에 의해 조절되는 무선 주파수(RF) 신호를 플라즈마 챔버(104)에 근접하게 위치한 TCP 코일(116)에 공급하기 위해 구성된 TCP 전원(110)에 대한 설정 (setpoint) 을 지정한다. RF 투명창(118)은 통상적으로 플라즈마 챔버(104)로부터 TCP 코일(116)을 분리시키기 위해 제공되어, 에너지가 TCP 코일(116)에서부터 플라즈마 챔버(104)로 전달되는 것을 허용한다.
바이어스 전력 제어기(108)는 바이어스 매치 네트워크 (120) 에 의해 조정된 RF 신호를 플라즈마 반응기 (104) 내에 위치된 전극 (122) 에 공급하도록 구성된 바이어스 전원 (112) 에 대한 설정을 설정하고, 이 플라즈마 반응기는 처리되는 반도체 웨이퍼와 같은 기판 (124) 을 수용하도록 채택된 전극 (122) 상에 직류 (DC) 바이어스를 생성한다.
통상적으로, 상류측의 질량 유량 제어기의 매니폴드 (manifold) 와 같은 가스 공급 메커니즘(126)은 제조 프로세스에 요구되는 적절한 화학물질(chemistry)을 가스 소스(127)로부터 플라즈마 반응기(104)의 내부로 공급한다. 배기 매니폴드(128)는 플라즈마 챔버(104)내로부터 가스 및 몇몇 특정한 물질을 제거한다. 플라즈마 챔버(104)내의 압력은 종종 진자 유형의 쓰로틀 밸브(130; throttle valve)를 사용하여 유지된다.
온도 제어기(134)는 히터 전원(135)을 사용해 척(chuck; 122)내의 히터(136)로의 전력을 조절함으로써 척(122)의 온도를 제어한다. 히터의 특성은 후술된다. 도 1 은 히터에 대한 폐쇄-루프 피드백-제어의 제어 시스템을 도시한다.
플라즈마 챔버(104)에서, 기판 에칭은 기판(104)을 노출시키고 진공에서 가스 혼합물(플라즈마)을 이온화함으로써 이루어진다. 에칭 프로세스는 가스가 플라즈마 챔버(104)로 전달될 때 시작한다. TCP 코일(116)에 의해 전달되고 TCP 매치 네트워크(110)에 의해 조정된 RF 전력은 가스를 이온화한다. 전극(122)에 의해 전달되고 바이어스 매치 네트워크(120)에 의해 조정된 RF 전력은 기판(124)의 이온 충격의 방향 및 에너지를 제어하기 위해 기판(124) 상에 DC 바이어스를 유도한다. 에칭 프로세스 동안, 플라즈마는 기판(124)의 표면과 함께 화학적으로 반응하여 포토레지스트 마스크에 의해 커버되지 않는 물질을 제거한다.
플라즈마 반응기의 설정과 같은 입력 파라미터들은 플라즈마 처리에 있어서 근본적으로 중요하다. 플라즈마 챔버(104) 내의 실제적인 TCP 전력, 바이어스 전력, 가스 압력, 가스 온도, 및 가스 흐름의 양은 프로세스 조건에 크게 영향을 준다. 플라즈마 챔버(104)로 전달된 실제 전력 내의 현저한 변화는 중성 및 이온화된 입자 밀도, 온도, 및 에치 레이트와 같은 다른 프로세스 가변 파라미터들의 기대치를 갑작스럽게 수정할 수도 있다.
삭제
도 2 는 본 발명의 다른 구체적인 실시형태에 따른 에칭 방법을 수행하기 위해 사용된 에칭 장치의 블록도이다. 도 2 는 반도체 디바이스의 처리 및 제조에 사용되는 용량 결합 플라즈마 에칭 시스템(200)을 도시한다. 용량 결합 플라즈마 에칭 시스템(200)은 플라즈마 챔버(204)를 갖는 플라즈마 반응기 (202) 를 포함한다. 가변 전원 (206) 은 플라즈마 챔버(204)내에 생성된 플라즈마(210)에 영향을 미치는 상부 전극(208)에 결합된다.
접지된 하부 전극(212), 또는 척은 처리될 웨이퍼(214)를 지지한다. 본 발명의 일 구체적 실시형태에 따라서, 척은 이 척 내에 삽입된 하나 이상의 히터(216)를 포함한다. 온도 제어기(218)는 히터(216)에 결합된 히터 전원(220)을 통해 척(212)의 온도를 제어한다. 히터 및 척의 특성은 후술된다.
통상적으로, 상류측의 질량 유량 제어기의 매니폴드와 같은 가스 공급 메커니즘(222)은 제조 프로세스에 요구되는 적절한 화학물질을 가스 소스(223)로부터 플라즈마 반응기(204)의 내부로 공급한다. 배기 매니폴드(224)는 플라즈마 챔버(204)내부로부터 가스 및 몇몇 특정한 물질을 제거한다. 플라즈마 챔버(204)내의 압력은 종종 진자 유형의 쓰로틀 밸브(226)를 사용하여 유지된다.
플라즈마 챔버(200)에서, 웨이퍼와 같은 기판의 에칭은 진공에서 이온화된 가스 혼합물(플라즈마(210))에 웨이퍼(214)를 노출시킴으로써 이루어진다. 에칭 프로세스는, 가스가 플라즈마 챔버(204)로 전달될 때 시작한다. 가변 전원 (206)에 의해 전달되는 RF 전력은 가스를 이온화한다. 전극(208)에 의해 전달되는 RF 전력은 접지된 척(212) 및 웨이퍼(214)로 향하는 이온 충격을 발생시킨다. 에칭 프로세스 동안, 플라즈마(210)는 웨이퍼(124)의 표면과 함께 화학적으로 반응하여 포토레지스트 마스크에 의해 커버되지 않는 물질을 제거한다.
도 3은 본 발명의 일 실시형태에 따른 가공물의 온도 제어용 장치를 도시하는 개략도이다. 베이스(302)나 열 교환기는 열 절연체(304)를 지지한다. 가급적 평평한 지지체(306)는 열 절연체(304) 상에 탑재된다. 히터(308)는 지지체(306)에 매립된다. 웨이퍼와 같은 가공물(310)는 지지체(306) 상부에 배치된다. 열 전도체(312)는 지지체(306)와 가공물(310) 사이에서 밀접한 열적 접촉을 제공한다. 열 전도체(312)는 헬륨과 같은 가스인 것이 바람직하다. 헬륨 압력은 가공물(310)과 지지체(306) 사이의 열 전도를 제어한다.
일 실시형태에 따라서, 베이스(302)는 냉방/가열 유체 루프와 같은 종래의 열 교환 시스템을 통해 비교적 일정한 온도로 유지되는, 금속 재료, 바람직하게는 알루미늄 베이스 냉각 플레이트를 포함한다. 다른 실시형태에 따라, 베이스(302)는 또한 알루미늄 질화물 등의 비금속 재료를 포함할 수도 있다. 그러나, 베이스(302)는 히터(308) 없이 표준 동작의 범위보다 더 냉각되야 한다. 예를 들어, 베이스(302)의 온도는 가공물(310)의 원하는 온도 보다 10℃에서 50℃낮을 수도 있다. 베이스(302)는 또한 플라즈마 가열용 열 싱크를 제공하기도 한다. 외부 냉각제 칠러(external coolant chiller; 미도시)는 베이스 플레이트의 온도를 유지하기 위해 사용될 수도 있다. 바람직하게는, 외부 냉각제 칠러 및 냉각제의 온도에 의해 제거된 열의 양은 각각 2000W 및 -20℃ 미만으로 제한될 수도 있다. 베이스(302)는 히터 전력선(312)이나 그 밖의 공급선들이 배치된 몇몇 홀들이나 캐비티(cavity)(미도시)들을 더 갖는다. 이러한 공급선들은 히터, 감지기, 고압 정전기 클램핑을 포함할 수 있다. 당업자들은 공급선들이 사전에 인용된 것으로만 제한되지 않음을 인식할 것이다.
일 실시형태에 따라서, 열 절연체(304)는 지지체(306)와 베이스(302) 사이에서 중요한 열 임피던스 브레이크 역할을 한다. 열 절연체(304)는 폴리머, 플라스틱, 또는 세라믹으로 만들어진 두꺼운 RTV 본딩 접착층을 포함할 수도 있다. 그러나, 웨이퍼(310)가 불충분하게 냉각된 반면에, 열 절연체(304)의 고온 임피던스 브레이크는 너무 과도할 수 없다. 예를 들어, 열 절연체는 가급적 약 .05W/mK 내지 약 .20W/mK의 범위의 열 전도율을 갖는 것이 바람직하다. 이 경우의 열 절연체(304)는 지지체(306)와 베이스(302) 사이에서 내열성 엘리먼트 및 접착제 역할을 한다. 게다가, 열 절연체(304)는 플라즈마와 베이스(304)를 적절한 RF 결합이 유지되도록 해야한다. 또한, 열 절연체(304)는 상기 층 상부 및 하부에 위치한 다른 물질들 및 온도들 때문에 상당한 열적-기계적 전단을 견뎌야한다. 가급적, 열 절연체(304)의 두께는 2mm 미만이어야 한다. 열 절연체(302)는 히터 전력선(312) 및 다른 공급선의 하우징 부분들에 대한 베이스(304)의 캐비티에 인접하는 몇몇 캐비티 및 비아를 통합할 수도 있다.
일 실시형태에 따라서, 지지체(306)는 세라믹 재료를 포함한다. 세라믹은, 예를 들어, 알루미나와 같은 비-도전성 재료일 수 있다. 지지체(306)의 형상은 일반적으로 플라즈마 에칭 시스템들에 사용되는 종래의 디스크를 포함하는 것이 바람직할 수도 있다. 가공물(306)는 종래의 정전 척일 수 있고, 또는 웨이퍼(310)를 유지하는 기계적 클램프를 갖는 세라믹일 수 있다. 일 실시형태에 따라서, 지지체(306)의 두께는 약 2mm이다. 그러나, 당업자는 다른 두께들도 적절할 수 있다는 것을 인식할 것이다. 다른 실시형태에 따라서, 지지체(306) 구조는 "베이스에 결합된 얇은 디스크" 유형이지만, 횡방향의 전도율이 상당히 높아서 히터 입력이 횡방향으로 넓어져서 비효율적인 존 분리를 초래할 수도 있다. 지지체는 열이 국부적으로 흩어지는 것을 허용해야 한다.
히터(308)는 적어도 하나의 저항성 엘리먼트를 포함한다. 일 실시형태에 따라서, 히터(308)는 클램프 전극 평면 아래의 지지체(306)에 삽입될 수 있고 예를 들면, 대칭적이거나 임의적인 임의의 원하는 패턴으로 형성될 수도 있다. 히터(308)는 또한 하나 이상의 평면 가열 엘리먼트를 갖을 수도 있다. 각각의 가열 엘리먼트는 독립적으로 제어될 수도 있는 열 존이나 열 영역을 정의한다. 멀티-존 패턴은 지지체(306)에게 전도성 냉각에 대항하여 작용하는 하나 이상의 평탄한 가열 엘리먼트를 갖는다. 각각의 열 존에 연관된 센서(309)는 각각의 열 존에 대한 온도를 측정하고 도 1의 제어기(134)나 도 2의 제어기(218)와 같은 제어기나 컴퓨터 시스템에게 신호를 송신하여 각각의 개별적인 평탄한 가열 엘리먼트를 모니터링하고 제어할 수 있다. 예를 들어, 적외선 방사 (infrared emission) 센서나 열전대 (thermo-couple) 센서와 같은 센서는 포트들을 통해 탑재되어 가공물(310)으로부터 직접 판독할 수 있다. 센서(309)는 또한 지지체 (306) 내에 또는 후면에 탑재될 수도 있다. 히터(308)는 또한 열 절연체(304)와 베이스(302)내의 개구를 통해 배치된 전력선(312)에 의해 전력공급될 수 있다.
일 실시형태에 따라서, 히터(308)는 전도성 히터를 포함한다. 다른 실시형태에 따라서, 히터(308)는 크립톤이나 석영 램프와 같은 가열 램프를 포함한다. 다른 실시형태에 따라, 히터(308)는 냉각시키거나 가열시킬 수 있는 열전도 모듈을 포함한다. 열전도 모듈들로, 베이스와 열 브레이크는 선택적일 수 있다. 히터(308)는 또한 저항성 가열 엘리먼트들을 포함할 수 있다. 당업자는 지지체(306)를 가열시키기 위한 많은 다른 방법들이 있음을 인식할 것이다.
삭제
도 4a 는 본 발명의 한 구체적 실시형태에 따른 웨이퍼를 에칭하기 위한 방법을 도시하는 흐름도이다. 방법은 에치 프로세서 내의 웨이퍼의 에칭을 허용한다. 에치 프로세서는 웨이퍼를 유지하는 척 및 척의 온도를 알려주는 온도 센서를 포함할 수도 있다. 이 척은 웨이퍼와의 밀접한 열적 접촉 상태에 있다. 척은 온도 제어 시스템에 의해 제어되는 히터를 포함할 수도 있다. 온도 센서는 온도 제어 시스템에 동작적으로 결합되어 선택가능한 설정 온도에서 척의 온도를 유지할 수 있다. 단계 402에서, 제 1 설정 온도와 제 2 설정 온도가 선택된다. 단계 404에서, 웨이퍼가 척 상에 위치된다. 단계 406에서, 척의 온도가 제 1 설정 온도로 지정된다. 이것은 제 1 설정 온도로 척을 냉각시기거나 가열시킴으로써 이루어진다.
단계 408에서, 척의 온도는 웨이퍼 처리 시간 주기 동안 제 1 설정 온도에서 제 2 설정 온도로 램핑(ramp)된다. 본 발명의 일 특정 실시형태에 따라, 본 프로세스는 웨이퍼 처리의 시간 주기 동안 제 1 설정 온도에서 제 2 설정 온도로 척의 온도가 점차적으로 증가 또는 감소하는 점진적인 방식으로 달성될 수도 있다. 이는 웨이퍼가 처리 중에 있으며, 척의 온도는 업 또는 다운된다. 상술한 프로세스는 웨이퍼의 처리 동안의 시간주기 전반에 걸쳐서 척 및 웨이퍼의 온도를 조정하는 몇 가지 상이한 설정 온도를 이용하는 것이 일반화될 수 있으며, 그 결과 하나 초과의 온도 프로파일을 갖는다.
척의 가열 및 냉각은 또한 예를 들면, 척 내에 내장된 히터를 이용하거나 웨이퍼와 척사이의 헬륨 압력을 변화시켜서 달성될 수 있다.
도 4b는 본 발명의 다른 특정 실시형태에 따라 웨이퍼를 에칭하는 방법을 도시한 흐름도이다. 단계 402에서, 제 1 설정 온도 및 제 2 설정 온도가 선택된다. 단계 404에서, 웨이퍼가 척 상에 배치된다. 단계 406에서, 척의 온도는 제 1 설정 온도로 설정된다. 다음으로, 410에서, 웨이퍼는 제 1 설정 온도에서 제 1 시간주기 동안 처리된다. 단계 412에서, 제 1 시간주기의 종료 이후에, 척의 온도는 제 2 설정 온도로 변경된다. 본 발명의 특정 실시형태에 따라, 에칭 플라즈마 반응기는 플라즈마 단계들 사이에서 온도를 떨어뜨리기 위해 턴오프될 수도 있다. 그 후, 단계 414에서, 웨이퍼는 제 2 시간 주기 동안 제 2 설정 온도에서 처리된다.
상술한 프로세스는 척 및 웨이퍼의 온도를 조정하기 위한 몇 가지 상이한 설정 온도를 이용하는 것으로 일반화될 수 있으며, 그 결과 하나 초과의 온도 프로파일을 갖는다. 따라서, 척의 온도는 설정 온도에 따라 증가 또는 감소될 수도 있다.
도 4c는 본 발명의 또 다른 특정 실시형태에 따라 웨이퍼를 에칭하기 위한 방법을 도시한 흐름도이다. 정전 척(ESC)은 서로 다른 열 존으로 분할될 수도 있다. 예를 들면, 척 내에 삽입된 히터는 척의 내부를 가열하기 위한 제 1 히터 컴포넌트와, 척의 외부를 가열하기 위한 제 2 히터 컴포넌트로 구성될 수도 있다. 단계 416에서, 상이한 설정 온도들이 설정될 수도 있다. 상이한 설정 온도는 제 1 내측 및 외측 설정 온도들과 제 2 내측 및 외측 설정 온도들을 포함할 수도 있다. 단계 418 에서, 에칭될 웨이퍼는 ESC 상에 배치된다. 단계 420 에서, 척의 내측 부분 온도는 제 1 내측 설정 온도로 설정되고, 척의 외측 부분 온도는 제 1 외측 설정 온도로 가열된다. 단계 422 에서, 웨이퍼는 제 1 내측 및 외측 설정 온도에서 제 1 시간주기 동안 처리된다. 단계 424 에서, 그 후 척의 내측 부분 온도는 제 2 내측 설정 온도로 변경되고 척의 외측 부분 온도는 제 2 외측 설정 온도로 변경된다. 단계 426 에서, 웨이퍼는 제 2 내측 및 외측 설정 온도에서 제 2 시간 주기 동안 처리된다.
상술한 프로세스는 척 및 웨이퍼의 온도를 조정하는 몇 가지 상이한 내측 및 외측 설정 온도를 이용하는 것으로써 일반화될 수 있으며, 그 결과 하나 초과의 온도 프로파일을 갖는다. 따라서, 척의 온도는 상이한 내측 및 외측 설정 온도에 따라 증가 또는 감소될 수도 있다.
도 5 는 본 발명의 하나의 특정 실시형태에 따라 에칭 프로세스 동안 웨이퍼의 온도 변화를 나타낸 그래프이다. 이 예는 에칭 프로세스동안 척의 온도 변화를 도시하고 있다. 이 특정 시나리오에서, 온도는 에칭 프로세스동안 감소되어 트랜치 하부에서의 프로파일 라운딩을 증가시킨다. 조정가능한 ESC 를 이용하여, 양측 열 존들의 척 온도는 단일 또는 듀얼 타겟 온도로 동일하게 또는 별도로 램핑될 수 있다. 일련의 단계들에 걸쳐서 고온으로 구동된 에칭 프로세스, 척의 온도는 원하는 STI 깊이에 도달될 때까지 점차적으로 하락한다. 도시하기 위해, 도 6a 는 종래방식으로 에칭된 웨이퍼의 종래의 트랜치의 단면도이다. 이에 비해, 도 6b 는 본 발명의 한가지 특정 실시형태에 따라 에칭된 웨이퍼의 트랜치의 단면도이다.
본 발명은 트랜치 에칭동안 정전 척(ESC)의 온도를 변화시킴으로써 트랜치 하부에서의 프로파일 라운딩을 증가시키는데 사용될 수도 있다. 조정가능한 ESC를 이용하여, 양측 열 존들의 척 온도는 단일 또는 듀얼 타겟 온도로 동일하게 또는 별도로 램핑될 수 있다. 원하는 STI 프로파일 또는 하부 라운딩을 달성하는 것은 종종 어려울 수 있다. 두 번째로, 각 커스토머 웨이퍼 (customer wafer) 는 성공적인 STI의 전송 및/또는 하나의 웨이퍼 타입으로부터 다른 웨이퍼 타입으로의 프로파일 라운딩 방법을 방지하는 상이한 노출 영역을 갖는다. 이전 하부 라운딩 방법은 제한된 성공을 가지며 종종 프로파일 불연속성을 생성한다. 또한, 이들 과거의 하부 라운딩 방법은 프로파일 라운딩을 수행할 수 없다. 프로파일 라운딩은 트랜치가 트랜치 하부 전에 라운드(안쪽으로 휨을 생성)되기 시작하는 곳이다. 성공적인 프로파일 라운딩은 트랜치 하부에서의 코너들 또는 프로파일 불연속성을 갖지 않고 일정하게 증가하는 곡률을 나타내는 상부(직선 프로파일 섹션)로부터 하부로의 매끄러운 천이를 가질 것이다.
본 발명의 한가지 특정 실시형태에 따라, 현재 개시된 프로세스는 조정가능한 ESC 에칭 장치를 이용하여 수행될 수도 있다. 대부분의 모든 STI 화학을 이용하여, 척 온도를 감소시키는 것은 고밀도의 등방성 트랜치 양측 상에 프로파일 테이퍼(profile taper)를 증가시키는 것이다. 온도를 더 낮출수록 표면접착 계수는 증가하고, 이는 측벽 재증착(sidewall redeposition)이 증가한다. 트랜치 프로세스동안 척 온도를 램프 다운시키는 것(즉, 플라즈마 온)은 측벽 재증착을 연속적으로 증가시킴으로써 트랜치 에칭 도중에 프로파일 테이퍼를 연속적으로 증가시킬 것이다. 사용된 온도 범위는 상당히 변화될 수도 있다. 본 발명의 다른 특정 실시형태에 따라, 조정가능한 ESC는 또한 ESC의 내측 및 외측 열 존들이 상이한 온도로 독립적으로 램핑될 수 있게 하여 웨이퍼 센터로부터 웨이퍼 에지 깊이 및/또는 프로파일 균일성을 조정 및 향상시킨다. 하부 프로파일은 물론 코너들도 ESC 온도를 변경함으로써 라운드된다.
본 발명의 다른 실시형태에 따라, 본 발명은 재료들의 건식 에칭 처리 도중에 기판 온도의 동적 제어를 통해 향상된 반도체 피쳐의 프로파일(옥사이드 및 포토레지스트)의 제어를 허용한다. 웨이퍼 온도는 미세한 피쳐상에 발생하는 표면 반응을 제어하고 표면을 따른 종들(species)의 확산, 표면 종들의 흡수/탈리(desorption) 및 표면상의 화학적 반응 속도를 조정한다. 이들 메커니즘을 통해 피쳐의 미세한 치수는 웨이퍼 온도를 가변시킴으로써 단일 프로세스 단계동안 조정될 수 있다. 온도를 이용하여 조정될 수 있는 옥사이드 프로파일 특성들은 휨(bow) 및 스트라이에이션(striation) 및 옥사이드 에칭 속도를 포함한다. 조정될 수 있는 포토레지스트 프로파일 특성들은 레지스트 퍼세팅(resist faceting) 및 적층 균일성을 포함한다.
관련 어플리케이션의 예들은 HARC(high aspect ratio contact) 에칭 및 SAC(self aligned contact) 에칭을 포함한다. 본 발명은 예를 들면, 통상적인 248nm 레지스트 및 새로운 193nm 레지스트 양측을 이용하여 구현될 수도 있으며, 이는 에칭 화학에 보다 민감하기 때문에, 스트라이에이션을 형성하기 쉽다. 에칭이 완료되지 않은 경우에 최적의 프로파일 제어 및 최소화의 경우, HARC 에칭은 에칭 프로세스의 제 1 부분 동안에는 고온이 요구되고 제 2 부분 동안에는 저온이 요구된다. 고온은 웨이퍼의 표면상의 폴리머 이동도를 증가시킴으로써 HARC 의 휨 및 스트라이에이션을 제거하는 기능을 한다. SAC 에칭은 높은 옥사이드 에칭 속도에 대한 에칭의 제 1 부분 동안에는 저온을 가지고 최적의 실리콘 질화물 선택도에 대한 제 2 부분 동안에는 고온을 갖는 상반된 온도 구조를 필요로 한다.
도시를 위해, 용량적으로 결합된, 듀얼 주파수 플라즈마 에칭기(etcher)에서의 에칭 프로세스동안 웨이퍼 온도를 가변시킴으로써 콘택 프로파일을 제어하기 위한 방법의 일례가 다음에 나타나 있다. 200mm 어플리케이션의 경우, 전체 전력이 3000W 이고 프로세스 압력이 50mT 인 조건이 사용될 수도 있다. 전체 가스는 100sccm 과 600sccm 사이에서 흐를 수도 있다.
HARC(High Aspect Ratio Contacts; 고 애스펙트비 콘택):
최적의 프로파일 제어 및 불완전한 에칭의 최소화를 위해, HARC는 에칭의 제 1 부분 동안에는 고온으로 그리고 제 2 부분동안에는 저온으로 수행될 수 있다. 고온은 웨이퍼의 표면상의 폴리머 이동도를 증가시키고/또는 반응종의 접착 계수를 감소시킴으로써 HARC 에칭의 휨 및 스트라이에이션을 최소화하는 기능을 한다. 에칭의 고온 부분의 지속 시간은 최대 휨 깊이보다 더 깊은 깊이(대개 1㎛ 미만)로 도달될 만큼 충분히 길 수도 있다. 예를 들면, 2.5㎛의 깊이로 0.18㎛ 피쳐를 에칭하는데 사용된 통상적인 HARC 프로세스 화학은 다음과 같다:
50 mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/20 T He/270s
수많은 유전막의 경우, 이 프로세스는 콘택의 상면 아래에 약 5000A 내지 7000A의 프로파일의 원하지 않는 휨을 생성할 수도 있다. 휨을 최소화하기 위해, 2개의 단계로 에칭이 수행될 수도 있으며, 한번은 고온에서 그리고 한번은 저온에서 수행된다. 고온은 He 압력을 낮춤으로써 달성될 수 있고 2 단계 프로세스는 다음과 같다:
50 mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/10 T He/200s
50 mT/1200(2)/1800(27)/300 Ar/15 C4F8/8 O2/0C LE/20 T He/70s
프로세스의 제 2 단계는 저온에서 구동하여 콘택 홀의 에칭 스톱이 깊게 되는 것을 방지한다. 웨이퍼 온도를 20℃만큼씩 상승시킴으로써, 휨은 오리지널 콘택 치수의 약 40%에서 오리지널 콘택 치수의 5% 미만으로 감소되었다.
SAC(Self-aligned contact; 자기 정렬 콘택):
SAC는 HARC 에칭의 상반된 온도 구조, 구체적으로 높은 옥사이드 에칭 속도의 경우 에칭의 제 1 부분동안에는 저온을 가지고 최적의 실리콘 질화물 선택도의 경우 제 2 부분 동안에는 고온을 갖는 구조를 필요로 한다. 이 방법은 실리콘 질화물이 고온에서 더 느리게 에칭된다는 이점을 갖는다.
한가지 SAC 프로세스는 다음과 같다:
60 mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/8 T He/110s
8 Torr의 He 압력은 비교적 뜨거운 웨이퍼 및 양호한 질화물 선택도를 생성하지만, 콘택 홀의 하부에 옥사이드 잔류물을 남긴다. 만일 상반된 방법이 사용되고 에칭이 저온에서 수행되는 경우, 옥사이드는 완벽하게 에칭될 수도 있지만, 질화물 선택도는 열악할 수도 있다. 2 단계 방법을 이용하면, 다음과 같다.
60 mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/20 T He/50s
60 mT/1500(2)/1500(27)/300 Ar/16 C4F6/12 O2/0C LE/8 T He/60s
옥사이드 잔류물은 완벽하게 에칭될 것이며 양호한 질화물 선택도는 유지될 것이다. 만일 전체 웨이퍼가 20 Torr He으로 에칭되는 경우, 질화물 선택도는 3개의 팩터에 의해 저하된다. 질화물 에칭율은 제 2 에칭 단계 동안 30℃ 더 뜨거운 온도를 이용함으로써 약 60%만큼 감소된다.
ARC 오픈으로부터 금속 스택으로 온도를 변화시키거나, 예를 들면, 오픈 영역이 변화될 때 하나의 로트(lot)로부터 다음 로트로 단일 챔버내에서 웨이퍼 온도를 조절하는 실행이 없었다. 대개, 상이한 온도에서 동작하는 전용 챔버가 사용되었다. 소유권 비용의 부수적인 증가 및 쓰루풋의 감소로 인해 적층시 각 층에 대해 상이한 온도에서 동작하는 전용 챔버를 사용하는 것은 비실용적이다. 그러나, 층마다 온도를 변화시키는 것은 임의의 다른 수단에 의해 간단하게 달성될 수 없는 프로파일 및 선택성을 달성할 수 있다. 각 온도에 대해 챔버를 전용으로 하지 않음으로써, 반도체 제조업자는 금전적인 이용의 유연성을 가지며 제조를 통한 제품 혼합을 변경하는 것을 빠르게 조정할 수 있다. 이는 상이한 온도에서 동작하는 전용 챔버들을 필요로 했던 디바이스 레이아웃시 임의의 다른 변경 또는 임의의 오픈 영역에 모든 기계들이 사용될 수 있는 것 만큼 잠재적으로 더 적은 기계들이 요구됨에 따라 비용을 절감할 수 있다.
본 발명의 실시형태들 및 어플리케이션이 도시되고 기술되었지만, 당업자라면 본 개시의 이점을 갖는 상술한 것보다 더 많은 변형이 본 명세서에서의 진보적인 개념에서 벗어나지 않고 가능하다는 것은 명백할 것이다. 따라서, 본 발명은 첨부된 청구항들의 기술적 사상을 제외하고 제한되지 않는다.

Claims (31)

  1. 에치 프로세서 내에서 웨이퍼를 에칭하는 방법으로서,
    상기 에치 프로세서는 상기 웨이퍼를 유지하는 척 (chuck) 및 내측 영역과 외측 영역에서 적어도 상기 웨이퍼의 온도를 알려주는 복수의 온도 센서들을 포함하고, 상기 척은 상기 내측 영역을 가열하는 제 1 히터 및 상기 외측 영역을 가열하는 제 2 히터를 포함하고, 상기 제 1 히터 및 제 2 히터는 온도 제어 시스템에 의해 제어되고, 상기 복수의 온도 센서들은 상기 온도 제어 시스템에 동작적으로 결합되어 상기 척의 온도를 선택가능한 설정 (setpoint) 온도로 유지시키며,
    상기 방법은,
    제 1 내측 설정 온도와 제 1 외측 설정 온도, 및 제 2 내측 설정 온도와 제 2 외측 설정 온도를 선택하는 단계;
    상기 척 상에 상기 웨이퍼를 배치하는 단계;
    상기 척의 상기 내측 영역을 상기 제 1 내측 설정 온도로 가열하고, 상기 척의 상기 외측 영역을 상기 제 1 외측 설정 온도로 가열하는 단계; 및
    상기 웨이퍼를 일정 시간 주기 동안 처리하면서 상기 척의 온도를 상기 제 1 내측 설정 온도로부터 상기 제 2 내측 설정 온도로 램핑하고, 상기 제 1 외측 설정 온도로부터 상기 제 2 외측 설정 온도로 램핑하는 (ramping) 단계를 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도는 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도보다 각각 높은, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도는 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도보다 각각 낮은, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  4. 삭제
  5. 삭제
  6. 제 1 항에 있어서,
    상기 척은 복수의 열 존(thermal zones) 을 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 램핑하는 단계는,
    상기 웨이퍼의 상기 내측 영역을 상기 제 2 내측 설정 온도로 가열하는 단계; 및
    상기 웨이퍼의 상기 외측 영역을 상기 제 2 외측 설정 온도로 가열하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 램핑하는 단계는,
    상기 웨이퍼의 상기 내측 영역을 상기 제 2 내측 설정 온도로 냉각하는 단계; 및
    상기 웨이퍼의 상기 외측 영역을 상기 제 2 외측 설정 온도로 냉각하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 웨이퍼의 프로파일을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 상기 웨이퍼 내의 트렌치의 테이퍼를 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 웨이퍼의 상부 및 하부 트렌치의 라운딩을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  12. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 상기 웨이퍼 내의 트렌치의 휨 (bow) 을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 상기 웨이퍼내의 트렌치의 스트라이에이션 (striation) 을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 상기 웨이퍼 내의 트렌치의 퍼셋 (facet) 을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  15. 제 1 항에 있어서,
    상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도 및 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도를 선택하는 단계를 통해서 상기 웨이퍼의 임계 치수를 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  16. 에치 프로세서 내에서 웨이퍼를 에칭하는 방법으로서,
    상기 에치 프로세서는 상기 웨이퍼를 유지하는 척 및 상기 웨이퍼의 내측 영역과 외측 영역에서 적어도 상기 웨이퍼의 온도를 알려주는 복수의 온도 센서들을 포함하고, 상기 척은 상기 내측 영역 및 상기 외측 영역을 각각 가열하는 제 1 히터 및 제 2 히터를 포함하고, 상기 제 1 히터 및 상기 제 2 히터는 온도 제어 시스템에 의해 제어되고, 상기 복수의 온도 센서들은 상기 온도 제어 시스템에 동작적으로 결합되어 상기 척의 온도를 선택가능한 설정 온도로 유지시키며,
    상기 방법은,
    제 1 내측 설정 온도 및 제 1 외측 설정 온도를 선택하는 단계;
    제 2 내측 설정 온도 및 제 2 외측 설정 온도를 선택하는 단계;
    상기 척 상에 상기 웨이퍼를 배치하는 단계;
    상기 웨이퍼의 상기 내측 영역을 상기 제 1 내측 설정 온도로 가열하고 상기 웨이퍼의 상기 외측 영역을 상기 제 1 외측 설정 온도로 가열하는 단계;
    상기 웨이퍼를 상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도에서 제 1 시간 주기 동안 에칭하는 단계;
    상기 웨이퍼의 온도를 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도로 변경하는 단계; 및
    상기 웨이퍼를 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도에서 제 2 시간 주기 동안 에칭하는 단계를 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  17. 제 16 항에 있어서,
    상기 제 1 시간 주기 동안 에칭하는 단계와 상기 제 2 시간 주기 동안 에칭하는 단계 사이에 상기 웨이퍼가 냉각되도록 하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  18. 제 16 항에 있어서,
    상기 제 1 시간 주기 동안 에칭하는 단계와 상기 제 2 시간 주기 동안 에칭하는 단계 사이에 상기 웨이퍼가 가열되도록 하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  19. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도는 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도보다 각각 낮은, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  20. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도는 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도보다 각각 높은, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  21. 삭제
  22. 삭제
  23. 제 16 항에 있어서,
    상기 척은 복수의 열 존을 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  24. 삭제
  25. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼의 프로파일을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  26. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼내의 트렌치의 테이퍼를 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  27. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼 내의 트렌치의 휨을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  28. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼내의 트렌치의 스트라이에이션을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  29. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼 내의 트렌치의 퍼셋을 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  30. 제 16 항에 있어서,
    상기 제 1 내측 설정 온도 및 상기 제 1 외측 설정 온도를 선택하는 단계 및 상기 제 2 내측 설정 온도 및 상기 제 2 외측 설정 온도를 선택하는 단계를 통해 상기 웨이퍼의 임계 치수를 제어하는 단계를 더 포함하는, 에치 프로세서 내에서 웨이퍼를 에칭하는 방법.
  31. 웨이퍼를 플라즈마 에칭하는 방법으로서,
    제 1 내측 설정 온도와 제 1 외측 설정 온도, 및 제 2 내측 설정 온도와 제 2 외측 설정 온도를 선택하는 단계;
    상기 웨이퍼를 플라즈마 에칭하는 동안 척의 상면에 대항하여 웨이퍼를 유지하는 단계;
    존 냉각제 가스가 상기 상면과 상기 웨이퍼의 하면을 따라 그리고 이들 사이에서 흐를 수 있도록 상기 상면을 복수의 존으로 구성하는 단계;
    상기 각각의 존으로 냉각 가스가 들어가게 하는 단계; 및
    상기 각각의 존에서 상기 존 냉각제 가스의 압력을 개별적으로 제어하여, 상기 웨이퍼를 플라즈마 에칭하는 동안 상기 웨이퍼에 걸쳐, 제 1 시간 주기 동안 온도를 상기 제 1 내측 설정 온도와 상기 제 1 외측 설정 온도로 제어하고, 제 2 시간 주기 동안 온도를 상기 제 2 내측 설정 온도와 상기 제 2 외측 설정 온도로 제어하는 단계를 포함하는, 웨이퍼를 플라즈마 에칭하는 방법.
KR1020047015667A 2002-04-02 2003-03-25 조정가능한 정전 척을 위한 가변 온도 프로세스 KR100921356B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36977302P 2002-04-02 2002-04-02
US60/369,773 2002-04-02
US10/235,453 US6921724B2 (en) 2002-04-02 2002-09-04 Variable temperature processes for tunable electrostatic chuck
US10/235,453 2002-09-04
PCT/US2003/009153 WO2003085721A2 (en) 2002-04-02 2003-03-25 Variable temperature processes for tunable electrostatic chuck

Publications (2)

Publication Number Publication Date
KR20050004834A KR20050004834A (ko) 2005-01-12
KR100921356B1 true KR100921356B1 (ko) 2009-10-13

Family

ID=28456852

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047015667A KR100921356B1 (ko) 2002-04-02 2003-03-25 조정가능한 정전 척을 위한 가변 온도 프로세스

Country Status (11)

Country Link
US (1) US6921724B2 (ko)
EP (1) EP1493180B1 (ko)
JP (3) JP4698951B2 (ko)
KR (1) KR100921356B1 (ko)
CN (1) CN1323427C (ko)
AT (1) ATE362652T1 (ko)
AU (1) AU2003233432A1 (ko)
DE (1) DE60313861T2 (ko)
IL (2) IL164363A0 (ko)
TW (1) TWI281212B (ko)
WO (1) WO2003085721A2 (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
FR2850790B1 (fr) * 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
US20040163601A1 (en) * 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040173311A1 (en) * 2003-03-04 2004-09-09 Tomoyoshi Ichimaru Plasma processing apparatus and method
US7309641B2 (en) * 2004-11-24 2007-12-18 United Microelectronics Corp. Method for rounding bottom corners of trench and shallow trench isolation process
US7202178B2 (en) * 2004-12-01 2007-04-10 Lexmark International, Inc. Micro-fluid ejection head containing reentrant fluid feed slots
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
WO2008051369A2 (en) * 2006-10-25 2008-05-02 Axcelis Technologies, Inc. Low-cost electrostatic clamp with fast declamp time and the manufacture
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
JP2008277499A (ja) * 2007-04-27 2008-11-13 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP5433171B2 (ja) * 2008-06-16 2014-03-05 株式会社日立ハイテクノロジーズ 試料温度の制御方法
JP2010050046A (ja) * 2008-08-25 2010-03-04 Hitachi High-Technologies Corp プラズマ処理装置
WO2010090948A1 (en) * 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
TWI395289B (zh) * 2009-05-15 2013-05-01 Advanced Micro Fab Equip Inc An electrostatic chuck device, a plasma processing device, and a method of manufacturing an electrostatic chuck device
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101644673B1 (ko) * 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
NL2008751A (en) 2011-06-06 2012-12-10 Asml Netherlands Bv Temperature sensing probe, burl plate, lithographic apparatus and method.
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US8668837B2 (en) * 2011-10-13 2014-03-11 Applied Materials, Inc. Method for etching substrate
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP5933222B2 (ja) * 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
CN103068138A (zh) * 2011-12-31 2013-04-24 长春吉大·小天鹅仪器有限公司 一种mpt微波能量真空管水冷装置
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
JP5975755B2 (ja) 2012-06-28 2016-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
JP6217233B2 (ja) * 2013-08-21 2017-10-25 住友電気工業株式会社 半導体装置の製造方法
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9437472B2 (en) * 2014-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor line feature and manufacturing method thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
JP6407694B2 (ja) 2014-12-16 2018-10-17 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10707110B2 (en) * 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10690414B2 (en) 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
CN109473381A (zh) * 2018-10-31 2019-03-15 上海华力微电子有限公司 湿法刻蚀清洗设备和方法
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
US11533783B2 (en) * 2019-07-18 2022-12-20 Applied Materials, Inc. Multi-zone heater model-based control in semiconductor manufacturing
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment
JP7351865B2 (ja) * 2021-02-15 2023-09-27 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
JPH05136095A (ja) * 1991-11-14 1993-06-01 Nec Corp ドライエツチング装置
JP2786571B2 (ja) * 1992-07-07 1998-08-13 日本碍子株式会社 半導体ウエハー加熱装置
JPH06283594A (ja) * 1993-03-24 1994-10-07 Tokyo Electron Ltd 静電チャック
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
JPH1014266A (ja) * 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
US5989929A (en) 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6103585A (en) * 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
JP3892609B2 (ja) * 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
JP2000260720A (ja) * 1999-03-12 2000-09-22 Kokusai Electric Co Ltd 半導体製造装置
US6387798B1 (en) * 2001-06-25 2002-05-14 Institute Of Microelectronics Method of etching trenches for metallization of integrated circuit devices with a narrower width than the design mask profile

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0917770A (ja) * 1995-06-28 1997-01-17 Sony Corp プラズマ処理方法およびこれに用いるプラズマ装置
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置

Also Published As

Publication number Publication date
EP1493180B1 (en) 2007-05-16
TW200406846A (en) 2004-05-01
WO2003085721A3 (en) 2003-12-18
JP5881277B2 (ja) 2016-03-09
AU2003233432A1 (en) 2003-10-20
JP2016096341A (ja) 2016-05-26
JP2010187023A (ja) 2010-08-26
WO2003085721A2 (en) 2003-10-16
IL164363A (en) 2010-02-17
JP2005522051A (ja) 2005-07-21
JP4698951B2 (ja) 2011-06-08
KR20050004834A (ko) 2005-01-12
IL164363A0 (en) 2005-12-18
US6921724B2 (en) 2005-07-26
CN1647259A (zh) 2005-07-27
TWI281212B (en) 2007-05-11
DE60313861D1 (de) 2007-06-28
DE60313861T2 (de) 2008-01-17
JP6170540B2 (ja) 2017-07-26
EP1493180A2 (en) 2005-01-05
ATE362652T1 (de) 2007-06-15
US20030186545A1 (en) 2003-10-02
CN1323427C (zh) 2007-06-27

Similar Documents

Publication Publication Date Title
KR100921356B1 (ko) 조정가능한 정전 척을 위한 가변 온도 프로세스
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US7354866B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7648914B2 (en) Method for etching having a controlled distribution of process results
US8722547B2 (en) Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JPH09260474A (ja) 静電チャックおよびウエハステージ
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
US20060292876A1 (en) Plasma etching method and apparatus, control program and computer-readable storage medium
TWI323011B (en) Method for etching having a controlled distribution of process results
EP1422751A2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US7189653B2 (en) Etching method and etching apparatus
KR100899244B1 (ko) 프로세스 결과들의 제어되는 분포를 갖는 에칭을 위한 방법
US20050098536A1 (en) Method of etching oxide with high selectivity
KR20060124853A (ko) 반도체 디바이스 제조를 위한 식각 장비의 에싱 챔버

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150923

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160923

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170927

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180927

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190925

Year of fee payment: 11