DE60204043T2 - Vorrichtung und verfahren zur abscheidung von atomaren schichten - Google Patents

Vorrichtung und verfahren zur abscheidung von atomaren schichten Download PDF

Info

Publication number
DE60204043T2
DE60204043T2 DE60204043T DE60204043T DE60204043T2 DE 60204043 T2 DE60204043 T2 DE 60204043T2 DE 60204043 T DE60204043 T DE 60204043T DE 60204043 T DE60204043 T DE 60204043T DE 60204043 T2 DE60204043 T2 DE 60204043T2
Authority
DE
Germany
Prior art keywords
coupled
valve
precursor
deduction
reactor chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60204043T
Other languages
English (en)
Other versions
DE60204043D1 (de
Inventor
H. Philip CAMPBELL
J. David KUBISTA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/977,612 external-priority patent/US6461436B1/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Application granted granted Critical
Publication of DE60204043D1 publication Critical patent/DE60204043D1/de
Publication of DE60204043T2 publication Critical patent/DE60204043T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

  • Die vorliegende Erfindung betrifft die Atomlagenabscheidung. Insbesondere betrifft die vorliegende Erfindung eine Vorrichtung und einen Prozeß zum Verbessern der Leistung einer Atomlagenabscheidungskammer.
  • Ein Verfahren zum Abscheiden von sehr dünnen Filmen ist die Atomlagenabscheidung (ALD – atomic layer deposition). Dieses Verfahren hat gegenüber der traditionellen chemischen Dampfabscheidung mehrere Vorteile. Es kann bei niedrigeren Temperaturen durchgeführt werden, verwendet einen großen Bereich von Vorläufern, erzeugt sehr dünne Filme, erzielt inhärent eine 100%-Stufenabdeckung und kann für ein „microengineering" von komplexen Filmmatrizen verwendet werden.
  • Die folgenden US-Patente und veröffentlichte internationale Patentanmeldung offenbaren eine Reaktionskammer zum Bearbeiten von in der Halbleiterindustrie verwendeten Dünnfilmen: US-Patent Nr. 5,674,563 an Tarui et al., europäische Patentanmeldung Veröffentlichungsnummer EP 0 651 432 A1 und US-Patent Nr. 6,270,572 B1 an Kim et al.
  • Bei der ALD werden individuelle Vorläufer auf sequentielle Weise auf die Oberfläche eines Wafers gepulst, ohne daß sich die Vorläufer in der Gasphase vermischen. Jeder individuelle Vorläufer reagiert mit der Oberfläche unter Ausbildung einer Atomlage auf eine Weise, so daß sich jeweils nur eine Lage ausbilden kann. Die Oberflächenreaktion tritt derart auf, daß die Reaktion abgeschlossen ist, und gestattet, daß jeweils nicht mehr als eine Lage abgeschieden wird. Dazu kommt es ungeachtet dessen, wie viele Moleküle in einem Überdosierungsmodus auf die Oberfläche aufgebracht werden. Die Filme werden aufgebaut, indem kurze Bursts von Gasen in schnellen Zyklen eingeleitet werden.
  • Nach den Beobachtungen der Erfinder der vorliegenden Erfindung treten bei dem ALD-Verfahren zwei Probleme auf. Ein Problem betrifft die Ableitung des Stroms von in eine Dampfphase eingeleiteten flüssigen Vorläufern. Während der ALD-Verarbeitung unter Verwendung eines Flüssigkeitszuführsystems ist es erforderlich, eine etablierte Strömung der flüssigen Vorläufer in eine Dampfphase aufrechtzuerhalten. Um die Strömung aktiv zu halten, muß sie zu der Vorleitung der ALD-Kammer umgeleitet werden, wenn bei dem Abscheidungsprozeß der flüssige Vorläufer nicht benötigt wird. Wenn das entgegenwirkende Gas gepulst ist, wird die nichtumgesetzte Chemikalie in der Vorleitung mit der umgelenkten Chemikalie vermischt und reagiert, was einen Aufbau in der Vorleitung bewirkt. Der Aufbau kann schwerwiegend sein und verstopft die Vorleitung. Ein zweites Problem betrifft die Reaktion der Gase. Prozeßgase werden individuell für den ALD-Prozeß eingeleitet und durch die gleichen Vorleitungen ausgetragen, was bewirkt, daß die Gase oder Dämpfe miteinander reagieren.
  • Dementsprechend besteht ein Bedarf an einer ALD-Vorrichtung und einem ALD-Prozeß, die das Verstopfen der Vorleitung des umgelenkten flüssigen Vorläufers auf ein Minimum reduzieren. Es besteht außerdem ein Bedarf in der Technik, jeden Bereich, den die reaktiven Gase oder Dämpfe gemeinsam haben, so zu steuern, daß eine etwaige unerwünschte Reaktion auf ein Minimum reduziert wird.
  • Dieser Bedarf wird von der vorliegenden Erfindung erfüllt, in der eine verbesserte ALD-Vorrichtung und ein verbesserter ALD-Prozeß bereitgestellt werden. Die vorliegende Erfindung erfüllt den ersten Bedarf zum Minimieren der Verstopfung der Vorleitung durch Bereitstellen einer ALD-Vorrichtung und eines ALD-Prozesses, die gestatten, daß sich getrennte Chemikalien nur zu dem Zeitpunkt und an der Stelle mischen, die gewünscht sind, indem eine zweite Vorleitung installiert und überwacht wird. Die vorliegende Erfindung erfüllt den zweiten Bedarf des Minimierens der Reaktion der Gase in den Pumpleitungen, indem sie gestattet, daß die reaktiven Gase oder Dämpfe aus der Prozeßreaktorkammer entfernt werden, ohne daß sie in einem Bereich einander berühren, der zu einer unerwünschten Reaktion der Prozeßgase oder Prozeßdämpfe führen würde. Dies wird erreicht durch Bereitstellen von unabhängigen und eigenen Pumpleitungen und entsprechenden Trennventilen, die gegebenenfalls für das spezifi sche Gas aktiviert werden. Die separaten Pumpleitungen gestatten das Ausleiten des Gases auf eine Weise, die eine mögliche unerwünschte Reaktion der reaktiven Gase auf ein Minimum reduziert. Eine Aufgabe der vorliegenden Erfindung besteht dementsprechend in der Bereitstellung einer verbesserten ALD-Vorrichtung und eines verbesserten ALD-Prozesses unter Verwendung von ausgebenden Vorleitungen und einem zweiten Abzugsweg, um das Verstopfen der Abzugsvorleitung zu verhindern.
  • Die folgende ausführliche Beschreibung der bevorzugten Ausführungsformen der vorliegenden Erfindung läßt sich am besten bei Lektüre in Verbindung mit den folgenden Zeichnungen verstehen, bei denen gleiche Strukturen mit gleichen Bezugszahlen bezeichnet sind. Es zeigen:
  • 1 eine Darstellung einer ALD-Vorrichtung gemäß einer Ausführungsform der vorliegenden Erfindung;
  • 2 eine Darstellung einer ALD-Vorrichtung gemäß einer weiteren Ausführungsform der vorliegenden Erfindung;
  • 3 eine Darstellung einer ALD-Vorrichtung gemäß noch einer weiteren Ausführungsform der vorliegenden Erfindung;
  • 4 eine Darstellung einer ALD-Vorrichtung gemäß noch einer weiteren Ausführungsform der vorliegenden Erfindung; und
  • 5 eine Darstellung einer ALD-Vorrichtung gemäß noch einer weiteren Ausführungsform der vorliegenden Erfindung.
  • Unter anfänglicher Bezugnahme auf 1 ist eine ALD-Vorrichtung 2 gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt. 1 zeigt eine ALD-Vorrichtung 2, die eine Prozeßreaktorkammer 10, ein erstes Ausgabeventil 4, ein zweites Ausgabeventil 8, ein Trennventil 24, eine Abzugsvorleitung 22, eine Abzugspumpe 20 und eine ausgebende Vorleitung 26 umfaßt. Die Prozeßreaktorkammer 10 enthält einen ersten Vorläufereinlaß 14, einen zweiten Vorläufereinlaß 16 und einen ersten Kammerauslaß 17. Das erste Ausgabeventil 4 ist an den ersten Vorläufereinlaß 14 der Prozeßreaktorkammer 10 gekoppelt. Das zweite Ausgabeventil 8 ist an den zweiten Vorläufereinlaß 16 der Prozeßreaktorkammer 10 gekoppelt. Das Trennventil 24 ist direkt an den ersten Kammerauslaß 17 der Prozeßreaktorkammer 10 gekoppelt. Die Abzugspumpe 20 ist durch die Abzugsvorleitung 22 an das Trennventil 24 gekoppelt, wodurch ein Abzugsweg definiert wird. Die Ausgabevorleitung 26 umfaßt ein erstes Ende 25 und ein zweites Ende 27. Das erste Ende 25 ist an das erste Ausgabeventil 4 und das zweite Ende 27 an die Abzugspumpe 20 gekoppelt. Wie in 1 dargestellt, existiert keine wesentliche Abzugsvorleitung zwischen dem Trennventil 24 und dem ersten Kammerauslaß 17, weil, wie oben angemerkt, das Trennventil 24 direkt an den Auslaß 17 gekoppelt ist.
  • Das erste Ausgabeventil 4 gestattet, daß ein erster Vorläufer 6 durch einen ersten Vorläufereinlaß 14 in die Prozeßreaktorkammer 10 strömt. Es muß eine ständige Strömung des ersten Vorläufers 6 aufrechterhalten werden. Deshalb lenkt das erste Ausgabeventil 4 die Richtung des ersten Vorläufers 6 selektiv zu dem ersten Vorläufereinlaß 14 der Prozeßreaktorkammer 10 um. Wenn der erste Vorläufer 6 nicht in die Prozeßreaktorkammer 10 umgelenkt wird, wird er über eine Ausgabevorleitung 26 zu der Abzugspumpe 20 geschickt. Die Ausgabevorleitung 26 wird dazu verwendet, den ersten Vorläufer 6 zu entsorgen, wenn er nicht in den ersten Vorläufereinlaß 14 umgelenkt wird. Mit der Ausgabevorleitung 26 kann der erste Vorläufer 6 von anderen Chemikalien, Vorläufern und Abgasen getrennt werden, die sich ansonsten mit dem ersten Vorläufer 6 vermischen und potentiell ein Verstopfen der ersten Abzugsvorleitung 22 verursachen würden. Somit bleibt die Abzugsvorleitung 22 sauber und die Strömung bleibt stabil und konstant.
  • Die Prozeßreaktorkammer 10 umfaßt einen ersten Vorläufereinlaß 14, einen zweiten Vorläufereinlaß 16 eine Heizung 13, einen Wafer 11 und eine Schauerkopfeinrichtung 18. Der erste Vorläufereinlaß 14 und der zweite Vorläufereinlaß 16 können sich eine gemeinsame Öffnung 12 teilen oder alternativ getrennte Öffnungen aufweisen. Der erste Vorläufereinlaß 14 kann den ersten Vorläufer 6 durch eine Schauerkopfeinrichtung 18 lenken, die den ersten Vorläufer 6 in die Prozeßreaktorkammer 10 verteilt. Wenn sich der erste Vorläufer 6 in der Prozeßreaktorkammer 10 befindet, wird er auf der Oberfläche eines Wafers 11 absorbiert. Der Wafer ruht auf einer Heizung 13. Die Art und Weise, wie die Absorption des Vorläufers erzielt wird, liegt jenseits des Schutzbereichs der vorliegenden Erfindung und ist in der Technik wohlbekannt. Sie kann aus einer beliebigen ei ner Reihe von Lehren in Erfahrung gebracht werden, die die Atomlagenabscheidung betreffen.
  • Nach dem Absorbieren des ersten Vorläufers 6 auf dem Wafer 11 wird nicht umgesetzter erster Vorläufer aus der Prozeßreaktorkammer 10 ausgespült, indem über das Spülventil 7 ein Spülgas in den Kammerauslaß 17 eingeleitet wird. Nicht umgesetzter erster Vorläufer strömt direkt in das Trennventil 24, wo nicht umgesetzter erster Vorläufer über die Abzugsvorleitung 22 zur Abzugspumpe 20 übertragen wird.
  • Der erste Vorläufer 6 und der zweite Vorläufer 9 werden in separaten Intervallen eingeleitet. Nachdem nicht umgesetzter erster Vorläufer durch die Verwendung des Spülventils 7 aus der Prozeßreaktorkammer 10 ausgespült worden ist, gestattet das zweite Ausgabeventil 8 die Einleitung des zweiten Vorläufers 9 in den zweiten Vorläufereinlaß 16 und schließlich in die Prozeßreaktorkammer 10. Der zweite Vorläufereinlaß 16 lenkt den zweiten Vorläufer 9 durch eine Schauerkopfeinrichtung 18, die den zweiten Vorläufer 9 in die Prozeßreaktorkammer 10 verteilt. Der zweite Vorläufer 9 reagiert dann mit der von dem ersten Vorläufer 6 auf dem Wafer 11 ausgebildeten Schicht, wodurch eine Monoschicht aus Film auf dem Wafer 11 hergestellt wird.
  • Nicht umgesetzter zweiter Vorläufer wird unter Verwendung des Spülventils 7 aus der Prozeßreaktorkammer 10 in den Kammerauslaß 17 gespült. Nicht umgesetzter zweiter Vorläufer strömt direkt in das Trennventil 24, wo nicht umgesetzter zweiter Vorläufer über die Abzugsvorleitung 22 zur Abzugspumpe 20 übertragen wird.
  • Dieser Prozeß der Einleitung, der Reaktion und des Spülens, wobei der erste Vorläufer 6 mit dem zweiten Vorläufer 9 abgewechselt wird, wird mit einer hohen Geschwindigkeitsrate mit kontinuierlichen Aufeinanderfolgen durchgeführt.
  • Zum Zweck des Beschreibens und Definierens der vorliegenden Erfindung wird angemerkt, daß der präzise Mechanismus, über den die Moleküle des ersten Vorläufers an der Oberfläche des Halbleitersubstrats haften, nicht Gegenstand der vorliegenden Erfindung ist. Der Mechanismus wird hier lediglich als „Absorp tion" beschrieben. Der generische Ausdruck „Absorption" soll Absorption, Adsorption und alle anderen ähnlichen Mechanismen abdecken, über die der Vorläufer auf der Oberfläche des Wafers 11 eine Monoschicht bilden kann.
  • Die in 2 dargestellte Ausführungsform der vorliegenden Erfindung unterscheidet sich von 1 dahingehend, daß sie eine Ausgabepumpe 28 verwendet. Bei dieser Ausführungsform ist das erste Ende 25 der Ausgabevorleitung 26 an das Ausgabeventil 4 gekoppelt. Das zweite Ende 27 der Ausgabevorleitung 26 ist an die Ausgabepumpe 28 gekoppelt. Die Ausgabepumpe 28 sammelt den nicht umgelenkten ersten Vorläufer 6, so daß er von anderen Chemikalien, Vorläufern und Abgasen getrennt wird, die sich ansonsten mit dem ersten Vorläufer 6 vermischen und potentiell ein Verstopfen der ersten Abzugsvorleitung 22 verursachen würden. Somit bleibt die Abzugsvorleitung 22 sauber und die Strömung bleibt stabil und konstant.
  • Die Ausführungsform von 3 unterscheidet sich von der in 2 dargestellten, weil das zweite Trennventil 34, die zweite Abzugsvorleitung 36 und die zweite Abzugspumpe 30 gezeigt sind, wodurch ein zweiter Abzugsweg definiert wird. Dieser zweite Abzugsweg ist so konstruiert, daß er den nichtumgesetzten ersten Vorläufer und den nichtumgesetzten zweiten Vorläufer getrennt hält. Dadurch wird die Möglichkeit des Vermischens und Verstopfens einer der Abzugsvorleitungen 22, 36 reduziert. Das zweite Trennventil 34, die zweite Abzugsvorleitung 36 und die zweite Abzugspumpe 30 arbeiten auf ähnliche Weise wie das erste Trennventil 24, die erste Abzugsvorleitung 22 und die erste Abzugspumpe 20. Nachdem der zweite Vorläufer 9 auf dem Wafer 11 absorbiert worden ist, wird der nichtumgesetzte zweite Vorläufer aus der Prozeßreaktorkammer 10 gespült, indem über das Spülventil 7 ein Spülgas in den zweiten Kammerauslaß 29 eingeleitet wird. Der nichtumgesetzte zweite Vorläufer strömt direkt in das zweite Trennventil 34, wo der nichtumgesetzte zweite Vorläufer über die zweite Abzugsvorleitung 36 zu der zweiten Abzugspumpe 30 übertragen wird.
  • Auch die Ausführungsform in 3 unterscheidet sich von der in 2 dargestellten, weil die Abgabevorleitung 26 mit dem ersten Abzugsweg verbunden ist. Insbesondere ist die Abgabevorleitung 26 mit der ersten Abzugspumpe 20 ver bunden. Das Abgabeventil könnte alternativ an die erste Abzugsvorleitung 22 oder direkt an eine Abgabepumpe 28 gekoppelt sein, wie in 2 dargestellt.
  • Die Ausführungsform von 4 unterscheidet sich von der von 3, weil eine zweite Ausgabevorleitung 36 von dem zweiten Ausgabeventil 8 zum zweiten Ausgabeweg, insbesondere zu der zweiten Abzugsvorleitung 33, verlegt ist. Die zweite Ausgabevorleitung 36 kann alternativ direkt mit der zweiten Abzugspumpe 30 verbunden sein, ähnlich zu der Ausführungsform von 1, oder mit einer zweiten Ausgabepumpe verbunden sein, ähnlich der Ausführungsform von 2. Die zweite Ausgabepumpe würde auf ähnliche Weise wie die oben beschriebene erste Ausgabepumpe 28 arbeiten. Die zweite Ausgabepumpe sammelt den nicht umgelenkten zweiten Vorläufer 9, so daß er von anderen Chemikalien, Vorläufern und Abgasen getrennt ist, die sich ansonsten mit dem zweiten Vorläufer 9 vermischen und potentiell die zweite Abzugsvorleitung 36 verstopfen würden. Somit bleibt die zweite Abzugsvorleitung 36 sauber und die Strömung bleibt stabil und konstant.
  • Die zweite Ausgabevorleitung 36 arbeitet auf ähnliche Weise wie die erste Ausgabevorleitung 26. Die zweite Ausgabevorleitung 36 wird dazu verwendet, den zweiten Vorläufer 9 zu entsorgen, wenn er nicht in den zweiten Vorläufereinlaß 16 umgelenkt wird. Die zweite Ausgabevorleitung 36 kann dazu verwendet werden, den zweiten Vorläufer 9 von anderen Chemikalien, Vorläufern und Abgasen zu trennen, die sich ansonsten mit dem zweiten Vorläufer 9 vermischen und potentiell ein Verstopfen der zweiten Abzugsvorleitung 33 verursachen würden. Somit bleibt die zweite Abzugsvorleitung 33 sauber und die Strömung bleibt stabil und konstant.
  • 5 unterscheidet sich von den vorausgegangenen Figuren, da sie nicht die erste Ausgabevorleitung 26 oder die zweite Ausgabevorleitung 36 zeigt. Deshalb sind nur die beiden getrennten Abzugswege dargestellt.
  • Nachdem die Erfindung ausführlich und unter Bezugnahme auf ihre bevorzugten Ausführungsformen beschrieben worden ist, ist offensichtlich, daß Modifikationen und Variationen möglich sind, ohne von dem in den beigefügten Ansprüchen definierten Schutzbereich der Erfindung abzuweichen. Genauer gesagt sind zwar hier einige Aspekte der vorliegenden Erfindung als bevorzugt oder besonders vorteilhaft identifiziert, doch wird in Betracht gezogen, daß die vorliegende Erfindung nicht notwendigerweise auf diese bevorzugten Aspekte der Erfindung beschränkt ist.

Claims (28)

  1. Atomlagenabscheidungsvorrichtung (2), die folgendes umfaßt: eine Prozeßreaktorkammer (10), die einen ersten Vorläufereinlaß (14), einen zweiten Vorläufereinlaß (16) und einen ersten Kammerauslaß (17) umfaßt; ein erstes Ausgabeventil (4), das an den ersten Vorläufereinlaß (14) der Prozeßreaktorkammer (10) gekoppelt ist; ein zweites Ausgabeventil (8), das an den zweiten Vorläufereinlaß (16) der Prozeßreaktorkammer (10) gekoppelt ist; einen ersten Abzugsweg, der an die Prozeßreaktorkammer (10) gekoppelt ist, konfiguriert, um von der Prozeßreaktorkammer (10) durch ein erstes Trennventil (24) selektiv getrennt zu sein, direkt an den Kammerauslaß (17) gekoppelt, so daß keine wesentliche Abzugsvorleitung zwischen dem Trennventil (24) und dem Kammerauslaß (17) existiert; und eine erste Ausgabevorleitung (26), die ein erstes Ende (25) und ein zweites Ende (27) umfaßt, wobei das erste Ende (25) an das erste Ausgabeventil (4) und das zweite Ende (27) der ersten Ausgabevorleitung (26) an den ersten Abzugsweg oder eine erste Ausgabepumpe (28) gekoppelt ist.
  2. Vorrichtung nach Anspruch 1, wobei das zweite Ende (27) der ersten Ausgabevorleitung (26) an den ersten Abzugsweg gekoppelt ist.
  3. Vorrichtung nach Anspruch 1, wobei der erste Abzugsweg weiterhin eine erste Abzugspumpe (20), das erste Trennventil (24) und eine erste Abzugsvorleitung (22) umfaßt, wobei die erste Abzugspumpe (20) über die erste Abzugsvorleitung (22) an das erste Trennventil (24) gekoppelt ist.
  4. Vorrichtung nach Anspruch 3, wobei der erste Abzugsweg von der Prozeßreaktorkammer (10) getrennt ist, wenn sich das erste Trennventil (24) in einem geschlossenen Zustand befindet.
  5. Vorrichtung nach Anspruch 3, wobei das zweite Ende (27) der ersten Ausgabevorleitung (26) an das erste Trennventil (24) des ersten Abzugswegs gekoppelt ist.
  6. Vorrichtung nach Anspruch 3, wobei das zweite Ende (27) der ersten Ausgabevorleitung (26) an die erste Abzugspumpe (20) des ersten Abzugswegs gekoppelt ist.
  7. Vorrichtung nach Anspruch 3, wobei das zweite Ende (27) der ersten Ausgabevorleitung (22) an die erste Abzugsvorleitung (22) des ersten Abzugswegs gekoppelt ist.
  8. Vorrichtung nach Anspruch 1, wobei die Vorrichtung weiterhin einen zweiten Abzugsweg umfaßt, der an einen zweiten Kammerauslaß (29) gekoppelt ist.
  9. Vorrichtung nach Anspruch 8, wobei: der erste Abzugsweg weiterhin eine erste Abzugspumpe (20), das erste Trennventil (24) und eine erste Abzugsvorleitung (22) umfaßt, wobei die erste Abzugspumpe (20) über die erste Abzugsvorleitung (22) an das erste Trennventil (24) gekoppelt ist; und der zweite Abzugsweg weiterhin eine zweite Abzugspumpe (30), das zweite Trennventil (34) und eine zweite Abzugsvorleitung (32) umfaßt, wobei die zweite Abzugspumpe (30) über die zweite Abzugsvorleitung (32) an das zweite Trennventil (34) gekoppelt ist.
  10. Vorrichtung nach Anspruch 8, wobei der zweite Abzugsweg von der Prozeßreaktorkammer (10) getrennt ist, wenn sich das Trennventil (34) in einem geschlossenen Zustand befindet.
  11. Vorrichtung nach Anspruch 8, wobei das zweite Trennventil (34) direkt an den zweiten Kammerauslaß (29) der Prozeßreaktorkammer (10) gekoppelt ist, so daß zwischen dem zweiten Trennventil (34) und dem zweiten Kammerauslaß (29) keine wesentliche Abzugsvorleitung existiert.
  12. Vorrichtung nach Anspruch 1, wobei das zweite Ende (27) der ersten Ausgabevorleitung (26) an die erste Ausgabepumpe (28) gekoppelt ist.
  13. Vorrichtung nach Anspruch 1, wobei die Vorrichtung weiterhin eine zweite Ausgabevorleitung (36) umfaßt, die ein erstes Ende (31) und ein zweites Ende (33) umfaßt, wobei das erste Ende (31) an das zweite Ausgabeventil (8) und das zweite Ende (33) der zweiten Ausgabevorleitung (36) an einen zweiten Abzugsweg gekoppelt ist.
  14. Vorrichtung nach Anspruch 13, wobei: der erste Abzugsweg weiterhin eine erste Abzugspumpe (20), das erste Trennventil (24) und eine erste Abzugsvorleitung (22) umfaßt, wobei die erste Abzugspumpe (20) über die erste Abzugsvorleitung (22) an das erste Trennventil (24) gekoppelt ist; und der zweite Abzugsweg weiterhin eine zweite Abzugspumpe (30), ein zweites Trennventil (34) und eine zweite Abzugsvorleitung (32) umfaßt, wobei die zweite Abzugspumpe (30) über die zweite Abzugsvorleitung (32) an das zweite Trennventil (34) gekoppelt ist.
  15. Vorrichtung nach Anspruch 14, wobei das zweite Ende (33) der zweiten Ausgabevorleitung (36) an das zweite Trennventil (34) des zweiten Abzugswegs gekoppelt ist.
  16. Vorrichtung nach Anspruch 14, wobei das zweite Ende (33) der zweiten Ausgabevorleitung (36) an die zweite Abzugspumpe (30) des zweiten Abzugswegs gekoppelt ist.
  17. Vorrichtung nach Anspruch 14, wobei das zweite Ende (33) der zweiten Ausgabevorleitung (36) an die zweite Abzugsvorleitung (32) des zweiten Abzugswegs gekoppelt ist.
  18. Vorrichtung nach Anspruch 12, wobei die Vorrichtung weiterhin eine zweite Ausgabevorleitung (36) umfaßt, die ein erstes Ende (31) und ein zweites Ende (33) umfaßt, wobei das erste Ende (31) an das zweite Ausgabe ventil (8) und das zweite Ende (33) der zweiten Ausgabevorleitung (36) an eine zweite Ausgabepumpe gekoppelt ist.
  19. Vorrichtung nach Anspruch 1, wobei die Vorrichtung weiterhin eine erste Dampfzufuhr umfaßt, die an den ersten Vorläufereinlaß (14) gekoppelt ist.
  20. Vorrichtung nach Anspruch 19, wobei die Vorrichtung weiterhin eine zweite Dampfzufuhr umfaßt, die an den zweiten Vorläufereinlaß (16) gekoppelt ist.
  21. Vorrichtung nach Anspruch 1, wobei der erste Vorläufereinlaß (14) und der zweite Vorläufereinlaß (16) sich eine gemeinsame Öffnung teilen.
  22. Vorrichtung nach Anspruch 1, wobei der erste Vorläufereinlaß (14) und der zweite Vorläufereinlaß (16) getrennte Öffnungen aufweisen.
  23. Vorrichtung nach Anspruch 1, wobei die Vorrichtung ein Reinigungsventil (7) umfaßt.
  24. Vorrichtung nach Anspruch 1, wobei die Prozeßreaktorkammer (10) weiterhin eine Sprühkopfeinrichtung (18) enthält.
  25. Atomlagenabscheidungsvorrichtung (2), die folgendes umfaßt: eine Prozeßreaktorkammer (10); ein erstes Trennventil (24), das direkt an einen ersten Kammerauslaß (17) der Prozeßreaktorkammer (10) gekoppelt ist, so daß zwischen dem ersten Trennventil (24) und dem ersten Kammerauslaß (17) keine wesentliche Abzugsvorleitung existiert; eine erste Abzugspumpe (20), die durch eine erste Abzugsvorleitung (22) an das erste Trennventil (24) gekoppelt ist; ein zweites Trennventil (34), das direkt an einen zweiten Kammerauslaß (29) der Prozeßreaktorkammer (10) gekoppelt ist, so daß zwischen dem zweiten Trennventil (34) und dem zweiten Kammerauslaß (29) keine wesentliche Abzugsvorleitung existiert; und eine zweite Abzugspumpe (30), die durch eine zweite Abzugsvorleitung (32) an das zweite Trennventil (34) gekoppelt ist.
  26. Prozeß zur Atomlagenabscheidung, der folgendes umfaßt: Einleiten eines ersten Vorläufers in einen ersten Vorläufereinlaß (14) einer Prozeßreaktorkammer (10); Steuern der Prozeßreaktorkammer (10) zur Absorption des ersten Vorläufers auf ein Substrat; Reinigen der Kammer (10) von nichtabsorbiertem erstem Vorläufer durch Öffnen eines direkt an einen Kammerauslaß gekoppelten Trennventils, so daß zwischen dem Trennventil und dem Kammerauslaß keine wesentliche Abzugsvorleitung existiert; Einleiten eines zweiten Vorläufers in einem zweiten Vorläufereinlaß (16) der Prozeßreaktorkammer (10); Steuern der Prozeßreaktorkammer (10) für eine Reaktion des zweiten Vorläufers mit dem ersten Vorläufer und Reinigen der Prozeßreaktorkammer (10) von nichtumgesetztem zweitem Vorläufer durch Öffnen eines direkt an einen Kammerauslaß gekoppelten Trennventils, so daß zwischen dem Trennventil und dem Kammerauslaß keine wesentliche Abzugsvorleitung existiert.
  27. Prozeß nach Anspruch 26, wobei: die Prozeßreaktorkammer (10) von dem nichtabsorbierten ersten Vorläufer gereinigt wird durch Öffnen eines direkt an einen ersten Abzugsauslaß in der Reaktorkammer (10) gekoppelten erstes Trennventil (24) und die Prozeßreaktorkammer (10) von nichtumgesetztem zweiten Vorläufer durch Öffnen eines direkt an einen zweiten Abzugsauslaß in der Reaktorkammer (10) gekoppelten Trennventils (34) gereinigt wird.
  28. Atomlagenabscheidungsvorrichtung (2), die folgendes umfaßt: eine Prozeßreaktorkammer (10), die einen ersten Vorläufereinlaß (14), einen zweiten Vorläufereinlaß (16) und einen ersten Kammerauslaß (17) umfaßt; ein erstes Ausgabeventil (4), das an den ersten Vorläufereinlaß (14) der Prozeßreaktorkammer (10) gekoppelt ist; ein zweites Ausgabeventil (8), das an den zweiten Vorläufereinlaß (16) der Prozeßreaktorkammer (10) gekoppelt ist; einen ersten Abzugsweg, der an den ersten Kammerauslaß (17) der Prozeßreaktorkammer (10) gekoppelt und so konfiguriert ist, daß er selektiv von der Prozeßreaktorkammer (10) getrennt ist, wobei der erste Abzugsweg ein erstes Trennventil (24), eine ersten Abzugsvorleitung (22) und eine erste Abzugspumpe (20), die durch die erste Abzugsvorleitung (22) an das erste Trennventil (24) gekoppelt ist, umfaßt; einen zweiten Abzugsweg, der an einen zweiten Kammerauslaß (29) der Prozeßreaktorkammer (10) gekoppelt und so konfiguriert ist, daß er selektiv von der Prozeßreaktorkammer (10) getrennt ist, wobei der zweite Abzugsweg ein zweites Trennventil (34), eine zweite Abzugsvorleitung (32) und eine zweite Abzugspumpe (30), die durch die zweite Abzugsvorleitung (32) an das zweite Trennventil (34) gekoppelt ist, umfaßt; eine erste Ausgabevorleitung (26), die ein erstes Ende (25) und ein zweites Ende (27) umfaßt, wobei das erste Ende (25) an das erste Ausgabeventil (4) und das zweite Ende (27) der ersten Ausgabevorleitung (26) an den ersten Abzugsweg (22) oder eine erste Ausgabepumpe (20) gekoppelt ist; und eine zweite Ausgabevorleitung (36), die ein erstes Ende (31) und ein zweites Ende (33) umfaßt, wobei das erste Ende (31) an das zweite Ausgabeventil (8) und das zweite Ende (33) der zweiten Ausgabevorleitung (36) an den zweiten Abzugsweg oder eine zweite Ausgabepumpe gekoppelt ist.
DE60204043T 2001-10-15 2002-10-15 Vorrichtung und verfahren zur abscheidung von atomaren schichten Expired - Lifetime DE60204043T2 (de)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US977612 2001-10-15
US09/977,612 US6461436B1 (en) 2001-10-15 2001-10-15 Apparatus and process of improving atomic layer deposition chamber performance
US166902 2002-06-11
US10/166,902 US6716284B2 (en) 2001-10-15 2002-06-11 Apparatus and process of improving atomic layer deposition chamber performance
US10/190,792 US6758911B2 (en) 2001-10-15 2002-07-08 Apparatus and process of improving atomic layer deposition chamber performance
US190792 2002-07-08
PCT/US2002/032741 WO2003033762A1 (en) 2001-10-15 2002-10-15 Atomic layer deposition apparatus and process

Publications (2)

Publication Number Publication Date
DE60204043D1 DE60204043D1 (de) 2005-06-09
DE60204043T2 true DE60204043T2 (de) 2006-01-19

Family

ID=27389326

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60204043T Expired - Lifetime DE60204043T2 (de) 2001-10-15 2002-10-15 Vorrichtung und verfahren zur abscheidung von atomaren schichten

Country Status (6)

Country Link
EP (1) EP1444379B1 (de)
JP (1) JP2005506446A (de)
KR (1) KR100683441B1 (de)
CN (1) CN1306062C (de)
DE (1) DE60204043T2 (de)
WO (1) WO2003033762A1 (de)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
GB0322602D0 (en) * 2003-09-26 2003-10-29 Boc Group Inc Vent-run gas switching systems
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8435351B2 (en) * 2004-11-29 2013-05-07 Tokyo Electron Limited Method and system for measuring a flow rate in a solid precursor delivery system
WO2006121264A1 (en) * 2005-05-09 2006-11-16 Asm Genitech Korea Ltd. Multiple inlet tomic layer deposition reactor
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
JP6167673B2 (ja) 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR102181122B1 (ko) 2016-12-13 2020-11-20 주식회사 원익아이피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN109402608B (zh) * 2017-08-16 2020-12-08 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
CN109750274B (zh) * 2017-11-01 2021-10-22 长鑫存储技术有限公司 半导体生产设备及半导体工艺方法
CN107988587B (zh) * 2017-11-14 2020-04-24 沈阳拓荆科技有限公司 一种气体分流合流装置
CN110055515A (zh) * 2018-01-18 2019-07-26 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189114A (ja) * 1988-01-25 1989-07-28 Nec Corp 気相成長装置
JP2743471B2 (ja) * 1989-05-19 1998-04-22 日本電気株式会社 ▲iii▼―v族化合物半導体の気相成長装置
JPH0319211A (ja) * 1989-06-15 1991-01-28 Fujitsu Ltd 化学気相成長装置
US5250323A (en) * 1989-10-30 1993-10-05 Kabushiki Kaisha Toshiba Chemical vapor growth apparatus having an exhaust device including trap
JPH0547665A (ja) * 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP3405466B2 (ja) * 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3328389B2 (ja) * 1993-09-14 2002-09-24 康夫 垂井 強誘電体薄膜の製造方法
JP3332053B2 (ja) * 1993-10-27 2002-10-07 清原 まさ子 チャンバーへのガス供給方法
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법

Also Published As

Publication number Publication date
CN1571863A (zh) 2005-01-26
WO2003033762A1 (en) 2003-04-24
EP1444379B1 (de) 2005-05-04
EP1444379A1 (de) 2004-08-11
JP2005506446A (ja) 2005-03-03
CN1306062C (zh) 2007-03-21
KR20050036871A (ko) 2005-04-20
KR100683441B1 (ko) 2007-02-20
DE60204043D1 (de) 2005-06-09

Similar Documents

Publication Publication Date Title
DE60204043T2 (de) Vorrichtung und verfahren zur abscheidung von atomaren schichten
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE19581483B4 (de) Verfahren und Vorrichtung zur Bildung von Dünnschichten
DE60223710T2 (de) Flüssigkeitsversorgungsvorrichtung mit reinigungsfunktion
DE102006003100B4 (de) Vorrichtung und Verfahren zur Herstellung eines Halbleiterbauelements
US6716284B2 (en) Apparatus and process of improving atomic layer deposition chamber performance
DE60109871T2 (de) Generator zur Pulsierung von Fluids zum Einsatz in einer Filterreinigungsvorrichtung und dem entsprechenden Verfahren
DE2338102C3 (de) Verfahren zur Inbetriebnahme eines Tropfengenerators
DE10239875B4 (de) Verfahren und Vorrichtung zur großflächigen Beschichtung von Substraten bei Atmosphärendruckbedingungen
WO2003008069A2 (de) Verfahren und vorrichtung zur abreinigung von filtern für staubbelastete abgase
CH626121A5 (de)
WO2019038327A1 (de) Behandlungsvorrichtung für substrate und verfahren zum betrieb einer solchen behandlungsvorrichtung
DE102009007800A1 (de) Aerosol-Drucker, dessen Verwendung und Verfahren zur Herstellung von Linienunterbrechungen bei kontinuierlichen Aerosol-Druckverfahren
DE112011102855T5 (de) Düsenkopf und Anordnung
DE69835310T2 (de) System zur Versorgung mit Dampf
WO2006079576A2 (de) Gaseinlassorgan für einen cvd-reaktor
DE60317642T2 (de) Gaszufuhrvorrichtung, Ventilanordnung und Verfahren zur Erzeugung von Reaktantpulsen mit einer Ventilanordnung
DE19927540A1 (de) Abgasreinigungssystem
WO1998018155A1 (de) Vorrichtung zum behandeln von substraten
DE112014007017T5 (de) Schichtausbildungsvorrichtung
EP1984606B1 (de) Dosiervorrichtung und verfahren zum betrieb derselben
EP0860021A1 (de) Anlage zur behandlung von gegenständen in einem prozesstank
EP4146396A1 (de) Mikrofluidisches ventil
DE102013109696B3 (de) Beschichtungsverfahren und Beschichtungsvorrichtung
DE102011121078B4 (de) Zyklisches Verdampfungsverfahren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition