CN1306062C - 原子层沉积装置和方法 - Google Patents

原子层沉积装置和方法 Download PDF

Info

Publication number
CN1306062C
CN1306062C CNB028204174A CN02820417A CN1306062C CN 1306062 C CN1306062 C CN 1306062C CN B028204174 A CNB028204174 A CN B028204174A CN 02820417 A CN02820417 A CN 02820417A CN 1306062 C CN1306062 C CN 1306062C
Authority
CN
China
Prior art keywords
foreline
exhaust
precursor
valve
treatment reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028204174A
Other languages
English (en)
Other versions
CN1571863A (zh
Inventor
菲利普·H·坎贝尔
戴维·J·库比斯塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/977,612 external-priority patent/US6461436B1/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1571863A publication Critical patent/CN1571863A/zh
Application granted granted Critical
Publication of CN1306062C publication Critical patent/CN1306062C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在本发明中提供了一种用于原子层沉积的装置和方法,该装置和方法能减小化学用品和反应气体的混合。通过安装和监测前级管道(26),第一产物母体和第二产物母体只有在合适的时间和位置处才能与其它化学药品和反应气体混合。还有,提供了独立和专用的腔室出口(17、29)、隔离阀(24、34)、排气前级管道(22、32)和排气泵(20、30),它们在需要时用于驱动特定气体。

Description

原子层沉积装置和方法
技术领域
本发明涉及原子层沉积。更具体地说,本发明涉及一种用于提高原子层沉积腔室的性能的装置和方法。
背景技术
沉积非常薄的膜的方法是原子层沉积(ALD)。该方法有优于普通化学蒸气沉积的多个优点。它可以在更低温度下进行;使用较宽范围的产物母体;产生非常薄的膜;自然获得100%的逐层覆盖;并可以用于“微观设计(microengineer)”复合膜基质。
以下美国专利和国际专利申请公开了用于处理在半导体工业中使用的薄膜的反应腔室:授予Tarui等的美国专利No.5674563、欧洲专利申请No.EP0651432A1以及授予Kim等的美国专利No.6270572。
在ALD中,各个产物母体以顺序方式脉冲输送到晶片的表面上,同时并不使气相的产物母体混合。各个产物母体与表面反应,以便以一次只能形成一层的方式形成原子层。表面反应发生就使得反应结束,因此一次只能沉积不超过一层。不管多少分子以过量方式施加在表面时,都会出现这种情况。通过在快速循环中引入气体短脉冲而形成膜。
根据本发明人的研究,ALD方法有两个问题。一个问题涉及以蒸气相引入的液体产物母体的引流。在使用液体供给系统的ALD处理过程中,需要保持形成的蒸气相的液体产物母体流。为了有效保持流体流,当在沉积处理中不需要液体产物母体时,该流必须引向ALD腔室的前级管道。当相对(opposing)气体脉冲输入时,未反应的化学药品在前级管道中与引入的化学药品混合并反应,从而在前级管道中引起污垢聚集(build up)。该聚集可能很严重,并堵塞前级管道。第二问题涉及气体的反应。处理气体逐个引入以便进行ALD处理,且通过相同前级管道进行分配将使得气体或蒸气相互反应。
因此,需要一种ALD装置和方法,它能够使被引导的液体产物母体的前级管道的堵塞减至最小。本领域还需要以一定方法控制反应气体或蒸气共用的任何区域,以便减小任何不希望的反应。
发明内容
这些要求通过本发明满足,在本发明中提供了一种改进的ALD装置和方法。本发明通过提供一种ALD装置和方法来满足减小前级管道的堵塞的第一要求,该ALD装置和方法通过安装和监测第二前级管道而使分开的化学药品只有在合适的时间和位置处才能混合。本发明通过使反应气体或蒸气从处理反应器腔室中除去,同时不使它们在一定区域中彼此接触,当它们在该区域中彼此接触时,将产生处理气体或蒸气的、不希望的反应。这通过提供独立和专用的泵送管道和相应的隔离阀来实现,当需要时,该泵送管道和隔离阀将驱动,以便用于特定气体。单独的泵送管道使得气体以使得反应气体发生不希望的反应的可能减至最小的方式排出。因此,本发明的目的是提供一种改进的ALD装置和方法,该ALD装置和方法使用分配前级管道和第二排气通路,以便防止排气前级管道发生堵塞。
附图说明
当结合附图时,可以更好地理解下面对本发明的优选实施例的详细说明,在附图中,相同结构以相同参考标号表示,且附图中:
图1是根据本发明一个实施例的ALD装置的视图;
图2是根据本发明另一实施例的ALD装置的视图;
图3是根据本发明另一实施例的ALD装置的视图;
图4是根据本发明另一实施例的ALD装置的视图;以及
图5是根据本发明另一实施例的ALD装置的视图。
具体实施方式
首先参考图1,图中表示了根据本发明一个实施例的ALD装置2。图1所示的ALD装置2包括处理反应器腔室10、第一分配阀4、第二分配阀8、隔离阀24、排气前级管道22、排气泵20以及分配前级管道26。处理反应器腔室10包括第一产物母体进口14、第二产物母体进口16和第一腔室出口17。第一分配阀4与处理反应器腔室10的第一产物母体进口14连接。第二分配阀8与所述处理反应器腔室10的第二产物母体进口16连接。隔离阀24直接与处理反应器腔室10的第一腔室出口17连接。排气泵20通过确定排气通路的排气前级管道22与该隔离阀24连接。分配前级管道26包括第一端25和第二端27。第一端25与第一分配阀4连接,第二端27与排气泵20连接。如图1所述,在隔离阀24和第一腔室出口17之间基本没有排气前级管道,因为如上所述,隔离阀24直接与出口17连接。
第一分配阀4允许第一产物母体6通过第一产物母体进口14进入处理反应器腔室10。必须保持第一产物母体6的连续流。因此,第一分配阀4选择性地将第一产物母体6的方向导向处理反应器腔室10的第一产物母体进口14。当第一产物母体6并不引入处理反应器腔室10中时,它通过分配前级管道26输送给排气泵20。当第一产物母体6并不导向第一产物母体进口14时,分配前级管道26用于排出第一产物母体6。分配前级管道26可以用于使第一产物母体6与其它化学药品、产物母体和排气隔离,否则这些化学药品、产物母体和排气将与该第一产物母体6混合,并可能引起第一排气前级管道22堵塞。因此,排气前级管道22能够保持清洁,流体流保持稳定和恒定。
处理反应器腔室10包括第一产物母体进口14、第二产物母体进口16、加热器13、晶片11和喷头装置18。该第一产物母体进口14和第二产物母体进口16可以共用一个公共开口12,或者也可选择有单独的开口。第一产物母体进口14可以引导第一产物母体6通过喷头装置18,该喷头装置18将第一产物母体6分配到处理反应器腔室10内。一旦进入处理反应器腔室10中,第一产物母体6将吸收到晶片11的表面上。该晶片置于加热器13上。实现吸收产物母体的方式不属于本发明的范围,它在本领域公知。它可以从关于原子层沉积的任意一个教科书中知道。
在第一产物母体6吸收到晶片11上之后,通过由清洗阀7引入清洗气,未反应的第一产物母体被清除出处理反应器腔室10并进入腔室出口17中。未反应的第一产物母体直接流入隔离阀24中,其中,未反应的第一产物母体通过排气前级管道22传送给排气泵20。
第一产物母体6和第二产物母体9在间隔开的时间中引入。当未反应的第一产物母体通过使用清洗阀7而从处理反应器腔室10中清除时,第二分配阀8能够将第二产物母体9引入第二产物母体进口16,并最终引入处理反应器腔室10中。第二产物母体进口16引导第二产物母体9通过喷头装置18,该喷头装置18将第二产物母体9分配到处理反应器腔室10中。然后,第二产物母体9与由第一产物母体6在晶片11上形成的层反应,从而在晶片11上产生单层膜。
未反应的第二产物母体通过使用清洗阀7而从处理反应器腔室10中清除并进入腔室出口17。未反应的第二产物母体直接流入隔离阀24中,其中,未反应的第二产物母体通过排气前级管道22传送给排气泵20。
第一产物母体6与第二产物母体9交替进行的引入、反应和清除的处理在高速下连续进行。
为了介绍和确定本发明,应当知道,使第一产物母体的分子粘在半导体基质的表面上的精确机理并不是本发明的主题。在这里,该机理仅仅介绍为“吸收”。通用术语“吸收”将覆盖吸收、吸附和任何其它使得产物母体可以在晶片11的表面上形成单层的类似机理。
图2中所示的本发明实施例与图1的区别在于它使用分配泵28。在本实施例中,分配前级管道26的第一端25与分配阀4连接,该分配前级管道26的第二端与分配泵28连接。该分配泵28收集未引入的第一产物母体6,这样,未引入的第一产物母体6将与其它化学药品、产物母体和排气隔离,否则这些化学药品、产物母体和排气将与第一产物母体6混合,并可能引起第一排气前级管道22堵塞。因此,排气前级管道22保持清洁,且流体流保持稳定和恒定。
图3的实施例与图2所示不同,因为图中表示了第二隔离阀34、第二排气前级管道32和第二排气泵30,从而确定了第二排气通路。第二排气通路构成为使得未反应的第一产物母体和未反应的第二产物母体分开。因此,任意一个排气前级管道22、32发生混合和堵塞的可能性减小。第二隔离阀34、第二排气前级管道32和第二排气泵30的工作方式与第一隔离阀24、第一排气前级管道22和第一排气泵20相同。在第二产物母体9吸收到晶片11上之后,通过由清洗阀7引入清洗气,未反应的第二产物母体被清除出处理反应器腔室10并进入第二腔室出口29中。未反应的第二产物母体直接流入第二隔离阀34中,其中,未反应的第二产物母体通过第二排气前级管道32传送给第二排气泵30。
图3的实施例也与图2中所示不同,因为分配前级管道26与第一排气通路连接。具体地说,分配前级管道26与第一排气泵20连接。也可选择,分配阀与第一排气前级管道22连接,或者直接与分配泵28连接,如图2中所示。
图4的实施例与图3的实施例不同,因为第二分配前级管道36从第二分配阀8伸向第二排气通路,具体地说伸向第二排气前级管道32。也可选择,第二分配前级管道36可以直接与第二排气泵30连接,与图1的实施例类似,或者与第二分配泵连接,与图2的实施例类似。第二分配泵将以与上述第一分配泵28类似的方式工作。第二分配泵收集未引入的第二产物母体9,因此,未引入的第二产物母体9将与其它化学药品、产物母体和排气隔离,否则这些化学药品、产物母体和排气将与第二产物母体9混合,并可能引起第二排气前级管道32堵塞。因此,第二排气前级管道32保持清洁,且流体流保持稳定和恒定。
第二分配前级管道36的工作方式与第一分配前级管道26类似。当第二产物母体9没有引入第二产物母体进口16中时,第二分配前级管道36用于排出第二产物母体9。第二分配前级管道36可以用于使第二产物母体9与其它化学药品、产物母体和排气隔离,否则这些化学药品、产物母体和排气将与第二产物母体9混合,并可能引起第二排气前级管道32堵塞。因此,第二排气前级管道32保持清洁,且流体流保持稳定和恒定。
图5与前述附图不同,因为它没有表示第一分配前级管道26或第二分配前级管道36。因此,只表示了两个分开的排气通路。
前面已经参考优选实施例详细介绍了本发明,但是应当知道,在不脱落由附加权利要求确定的本发明范围的情况下,可以进行变化和改变。特别是,尽管这里确认本发明的某些方面是优选或特别有利,但是本发明并不必须限制为本发明的这些优选方面。

Claims (26)

1.一种原子层沉积装置(2),包括:
处理反应器腔室(10),该处理反应器腔室包括第一产物母体进口(14)、第二产物母体进口(16)和第一腔室出口(17);
第一分配阀(4),该第一分配阀与所述处理反应器腔室(10)的所述第一产物母体进口(14)连接;
第二分配阀(8),该第二分配阀与所述处理反应器腔室(10)的所述第二产物母体进口(16)连接;
第一排气通路,该第一排气通路与所述处理反应器腔室(10)连接,并设置成通过直接与所述第一腔室出口(17)连接的第一隔离阀(24)而选择地与所述处理反应器腔室(10)隔离,这样,在所述第一隔离阀(24)和所述第一腔室出口(17)之间没有排气前级管道,所述第一排气通路还包括第一排气泵(20)和第一排气前级管道(22),其中所述第一排气泵(20)通过所述第一排气前级管道(22)与所述第一隔离阀(24)连接;以及
第一分配前级管道(26),该第一分配前级管道包括第一端(25)和第二端(27),其中,所述第一分配前级管道的第一端(25)与所述第一分配阀(4)连接,所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路或第一分配泵(28)连接。
2.根据权利要求1所述的装置,其中:所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路连接。
3.根据权利要求1所述的装置,其中:当所述第一隔离阀(24)处于关闭状态时,所述第一排气通路与所述处理反应器腔室(10)隔离。
4.根据权利要求1所述的装置,其中:所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路的所述第一隔离阀(24)连接。
5.根据权利要求1所述的装置,其中:所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路的所述第一排气泵(20)连接。
6.根据权利要求1所述的装置,其中:所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路的所述第一排气前级管道(22)连接。
7.根据权利要求1所述的装置,其中:所述装置还包括第二排气通路,该第二排气通路与第二腔室出口(29)连接。
8.根据权利要求7所述的装置,其中:
所述第一排气通路包括第一排气泵(20)、所述第一隔离阀(24)和第一排气前级管道(22),且所述第一排气泵(20)通过所述第一排气前级管道(22)与所述第一隔离阀(24)连接;以及
所述第二排气通路包括第二排气泵(30)、第二隔离阀(34)和第二排气前级管道(32),且所述第二排气泵(30)通过所述第二排气前级管道(32)与所述第二隔离阀(34)连接。
9.根据权利要求7所述的装置,其中:当所述第二隔离阀(34)处于关闭状态时,所述第二排气通路与所述处理反应器腔室(10)隔离。
10.根据权利要求7所述的装置,其中:所述第二隔离阀(34)直接与所述处理反应器腔室(10)的第二腔室出口(29)连接,这样,在所述第二隔离阀(34)和所述第二腔室出口(29)之间没有排气前级管道。
11.根据权利要求1所述的装置,其中:所述第一分配前级管道(26)的所述第二端(27)与所述第一分配泵(28)连接。
12.根据权利要求1所述的装置,其中:所述装置还包括第二分配前级管道(36),该第二分配前级管道包括第一端(31)和第二端(33),所述第二分配前级管道的第一端(31)与所述第二分配阀(8)连接,所述第二分配前级管道(36)的所述第二端(33)与第二排气前级管道(32)或第二排气泵(30)连接。
13.根据权利要求12所述的装置,其中:
所述第一排气通路包括第一排气泵(20)、所述第一隔离阀(24)和第一排气前级管道(22),且所述第一排气泵(20)通过所述第一排气前级管道(22)与所述第一隔离阀(24)连接;以及
所述第二排气通路包括第二排气泵(30)、第二隔离阀(34)和第二排气前级管道(32),且所述第二排气泵(30)通过所述第二排气前级管道(32)与所述第二隔离阀(34)连接。
14.根据权利要求13所述的装置,其中:所述第二分配前级管道(36)的所述第二端(33)与所述第二排气通路的所述第二隔离阀(34)连接。
15.根据权利要求13所述的装置,其中:所述第二分配前级管道(36)的所述第二端(33)与所述第二排气通路的所述第二排气泵(30)连接。
16.根据权利要求13所述的装置,其中:所述第二分配前级管道(36)的所述第二端(33)与所述第二排气通路的所述第二排气前级管道(32)连接。
17.根据权利要求11所述的装置,其中:所述装置还包括第二分配前级管道(36),该第二分配前级管道包括第一端(31)和第二端(33),所述第二分配前级管道的第一端(31)与所述第二分配阀(8)连接,所述第二分配前级管道(36)的所述第二端(33)与所述第二分配泵连接。
18.根据权利要求1所述的装置,其中:所述装置还包括与所述第一产物母体进口(14)连接的第一蒸气供给源。
19.根据权利要求18所述的装置,其中:所述装置还包括与所述第二产物母体进口(16)连接的第二蒸气供给源。
20.根据权利要求1所述的装置,其中:所述第一产物母体进口(14)和所述第二产物母体进口(16)共用公共开口。
21.根据权利要求1所述的装置,其中:所述第一产物母体进口(14)和所述第二产物母体进口(16)有单独的开口。
22.根据权利要求1所述的装置,其中:所述装置还包括清洗阀(7)。
23.根据权利要求1所述的装置,其中:所述处理反应器腔室(10)还包括喷头装置(18)。
24.一种原子层沉积装置(2),包括:
处理反应器腔室(10);
第一隔离阀(24),该第一隔离阀直接与所述处理反应器腔室(10)的第一腔室出口(17)连接,这样,在所述第一隔离阀(24)和所述第一腔室出口(17)之间没有排气前级管道;
第一排气泵(20),该第一排气泵通过第一排气前级管道(22)与所述第一隔离阀(24)连接;
第二隔离阀(34),该第二隔离阀直接与所述处理反应器腔室(10)的第二腔室出口(29)连接,这样,在所述第二隔离阀(34)和所述第二腔室出口(29)之间没有排气前级管道;以及
第二排气泵(30),该第二排气泵通过第二排气前级管道(32)与所述第二隔离阀(34)连接。
25.一种用于原子层沉积的方法,包括:
将第一产物母体引入处理反应器腔室(10)的第一产物母体进口(14)中;
控制所述处理反应器腔室(10),以便使所述第一产物母体吸收到基质上;
通过打开与腔室出口直接连接的隔离阀来清除所述腔室(10)的未吸收第一产物母体,这样,在所述隔离阀和所述腔室出口之间没有排气前级管道;
将第二产物母体引入所述处理反应器腔室(10)的第二产物母体进口(16)中;
控制所述处理反应器腔室(10),以便使所述第二产物母体与所述第一产物母体反应;以及
通过打开与腔室出口直接连接的隔离阀来清除所述处理反应器腔室(10)的未反应第二产物母体,这样,在所述隔离阀和所述腔室出口之间没有排气前级管道。
26.一种原子层沉积装置(2),包括:
处理反应器腔室(10),该处理反应器腔室包括第一产物母体进口(14)、第二产物母体进口(16)和第一腔室出口(17);
第一分配阀(4),该第一分配阀与所述处理反应器腔室(10)的所述第一产物母体进口(14)连接;
第二分配阀(8),该第二分配阀与所述处理反应器腔室(10)的所述第二产物母体进口(16)连接;
第一排气通路,该第一排气通路与所述处理反应器腔室(10)的所述第一腔室出口(17)连接,并设置成选择地与所述处理反应器腔室(10)隔离,其中,所述第一排气通路包括第一隔离阀(24)、第一排气前级管道(22)以及通过所述第一排气前级管道(22)与所述第一隔离阀(24)连接的第一排气泵(20);
第二排气通路,该第二排气通路与所述处理反应器腔室(10)的所述第二腔室出口(29)连接,并设置成选择地与所述处理反应器腔室(10)隔离,其中,所述第二排气通路包括第二隔离阀(34)、第二排气前级管道(32)以及通过所述第二排气前级管道(32)与所述第二隔离阀(34)连接的第二排气泵(30);
第一分配前级管道(26),该第一分配前级管道包括第一端(25)和第二端(27),其中,所述第一分配前级管道的第一端(25)与所述第一分配阀(4)连接,所述第一分配前级管道(26)的所述第二端(27)与所述第一排气通路(22)或第一分配泵(28)连接;以及
第二分配前级管道(36),该第二分配前级管道包括第一端(31)和第二端(33),其中,所述第二分配前级管道的第一端(31)与所述第二分配阀(8)连接,所述第二分配前级管道(36)的所述第二端(33)与所述第二排气通路或第二分配泵连接。
CNB028204174A 2001-10-15 2002-10-15 原子层沉积装置和方法 Expired - Lifetime CN1306062C (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/977,612 2001-10-15
US09/977,612 US6461436B1 (en) 2001-10-15 2001-10-15 Apparatus and process of improving atomic layer deposition chamber performance
US10/166,902 2002-06-11
US10/166,902 US6716284B2 (en) 2001-10-15 2002-06-11 Apparatus and process of improving atomic layer deposition chamber performance
US10/190,792 US6758911B2 (en) 2001-10-15 2002-07-08 Apparatus and process of improving atomic layer deposition chamber performance
US10/190,792 2002-07-08
PCT/US2002/032741 WO2003033762A1 (en) 2001-10-15 2002-10-15 Atomic layer deposition apparatus and process

Publications (2)

Publication Number Publication Date
CN1571863A CN1571863A (zh) 2005-01-26
CN1306062C true CN1306062C (zh) 2007-03-21

Family

ID=27389326

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028204174A Expired - Lifetime CN1306062C (zh) 2001-10-15 2002-10-15 原子层沉积装置和方法

Country Status (6)

Country Link
EP (1) EP1444379B1 (zh)
JP (1) JP2005506446A (zh)
KR (1) KR100683441B1 (zh)
CN (1) CN1306062C (zh)
DE (1) DE60204043T2 (zh)
WO (1) WO2003033762A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
GB0322602D0 (en) * 2003-09-26 2003-10-29 Boc Group Inc Vent-run gas switching systems
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8435351B2 (en) * 2004-11-29 2013-05-07 Tokyo Electron Limited Method and system for measuring a flow rate in a solid precursor delivery system
CN101171365B (zh) * 2005-05-09 2010-05-19 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
JP6167673B2 (ja) 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR102181122B1 (ko) 2016-12-13 2020-11-20 주식회사 원익아이피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN109402608B (zh) * 2017-08-16 2020-12-08 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法
CN109750274B (zh) * 2017-11-01 2021-10-22 长鑫存储技术有限公司 半导体生产设备及半导体工艺方法
CN107988587B (zh) * 2017-11-14 2020-04-24 沈阳拓荆科技有限公司 一种气体分流合流装置
CN110055515A (zh) * 2018-01-18 2019-07-26 北京北方华创微电子装备有限公司 一种原子层沉积设备的气路系统及其控制方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189114A (ja) * 1988-01-25 1989-07-28 Nec Corp 気相成長装置
JPH02304916A (ja) * 1989-05-19 1990-12-18 Nec Corp 3―v族化合物半導体の気相成長装置
JPH0319211A (ja) * 1989-06-15 1991-01-28 Fujitsu Ltd 化学気相成長装置
JPH0547665A (ja) * 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
EP0651432A1 (en) * 1993-10-27 1995-05-03 Kiyohara, Masako Method of feeding gas into a chamber
US5601651A (en) * 1992-09-17 1997-02-11 Fujitsu Limited Flow control valve for use in fabrication of semiconductor devices
US5674563A (en) * 1993-09-14 1997-10-07 Nissan Motor Co., Ltd. Method for ferroelectric thin film production
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5250323A (en) * 1989-10-30 1993-10-05 Kabushiki Kaisha Toshiba Chemical vapor growth apparatus having an exhaust device including trap

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189114A (ja) * 1988-01-25 1989-07-28 Nec Corp 気相成長装置
JPH02304916A (ja) * 1989-05-19 1990-12-18 Nec Corp 3―v族化合物半導体の気相成長装置
JPH0319211A (ja) * 1989-06-15 1991-01-28 Fujitsu Ltd 化学気相成長装置
JPH0547665A (ja) * 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
US5601651A (en) * 1992-09-17 1997-02-11 Fujitsu Limited Flow control valve for use in fabrication of semiconductor devices
US5674563A (en) * 1993-09-14 1997-10-07 Nissan Motor Co., Ltd. Method for ferroelectric thin film production
EP0651432A1 (en) * 1993-10-27 1995-05-03 Kiyohara, Masako Method of feeding gas into a chamber
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition

Also Published As

Publication number Publication date
EP1444379B1 (en) 2005-05-04
DE60204043T2 (de) 2006-01-19
WO2003033762A1 (en) 2003-04-24
JP2005506446A (ja) 2005-03-03
KR100683441B1 (ko) 2007-02-20
CN1571863A (zh) 2005-01-26
DE60204043D1 (de) 2005-06-09
EP1444379A1 (en) 2004-08-11
KR20050036871A (ko) 2005-04-20

Similar Documents

Publication Publication Date Title
CN1306062C (zh) 原子层沉积装置和方法
US6461436B1 (en) Apparatus and process of improving atomic layer deposition chamber performance
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
TWI398547B (zh) 基於蒸氣之組合式處理
KR102197576B1 (ko) 재순환을 이용하는 공간적인 원자 층 증착을 위한 장치 및 사용 방법들
US4509456A (en) Apparatus for guiding gas for LP CVD processes in a tube reactor
US6905547B1 (en) Method and apparatus for flexible atomic layer deposition
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
TW202129064A (zh) 半導體處理裝置以及沉積方法
JP2001348666A (ja) 基板上に薄膜を成長させる方法および装置
KR20120028305A (ko) 기판상에 박막을 성장시키는 방법 및 장치
KR20060096445A (ko) 박막 성장용 반응 시스템
US20090162551A1 (en) Hafnium oxide ald process
EP1889286B1 (en) High efficiency trapping method for deposition process
KR20200112698A (ko) 반응기 매니폴드
KR20110041488A (ko) 가스 이송 장치
CN1856593A (zh) 将气体输送至一个腔和将气体从腔排出的装置
CN219010454U (zh) 一种原子层沉积装置和气相沉积设备
KR20240000647U (ko) 반응기 매니폴드
CN117987802A (zh) 一种化学气相沉积设备及其真空与尾气处理系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20070321