DE112018001288T5 - Bereitstellen von Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten - Google Patents

Bereitstellen von Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten Download PDF

Info

Publication number
DE112018001288T5
DE112018001288T5 DE112018001288.3T DE112018001288T DE112018001288T5 DE 112018001288 T5 DE112018001288 T5 DE 112018001288T5 DE 112018001288 T DE112018001288 T DE 112018001288T DE 112018001288 T5 DE112018001288 T5 DE 112018001288T5
Authority
DE
Germany
Prior art keywords
power supply
transistor
internal power
dynamic internal
node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112018001288.3T
Other languages
English (en)
Inventor
Rajiv Joshi
Naigang Wang
Bruce Doris
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112018001288T5 publication Critical patent/DE112018001288T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/10Inductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0013Arrangements for reducing power consumption in field effect transistor circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/017509Interface arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Dc-Dc Converters (AREA)
  • Dram (AREA)
  • Logic Circuits (AREA)

Abstract

Offenbart werden Schaltungen und Verfahren zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen. Eine Schaltung enthält einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die zu einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert. Die Schaltung enthält ferner einen magnetischen Induktor mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft allgemein integrierte Schaltungen und insbesondere einen in Resonanz befindlichen virtuellen Versorgungs-Booster für synchrone Logikschaltungen und weitere Schaltungen unter Verwendung eines chipintegrierten magnetischen Induktors.
  • HINTERGRUND
  • Statische und dynamische Logikschaltungen werden in Arbeitsspeichern und Logikeinheiten verwendet, um einen hochfrequenten Betrieb mit einem Minimum an Chipfläche zum Ausführen von logischen Operationen und Bereitstellen von Speicherfunktionalität bereitzustellen. Beide synchronen statischen und dynamischen Logikschaltungen haben Auswertungszeiten, die dadurch gesteuert werden, dass der Betrieb der Schaltung vor und nach einem Zeitpunkt, an dem ein Ausgangswert des Logikblocks einen Zustand auswertet oder ändert, aus einem Wert der Eingangslogik oder einer Speicherzelle bestimmt wird.
  • Gruppen von logischen Schaltungen, die manchmal als „Makros“ bezeichnet werden, wurden in existierenden Schaltungen energieverwaltet, um den Energieverbrauch zu verringern, ausgenommen während bestimmter Zeitintervalle, in denen Versorgungsstrom gezogen wird, um einen Lese- oder einen Schreibvorgang für einen Speicherzellenwert oder die Bestimmung einer logischen Kombination bereitzustellen. Zum Beispiel kann eine dynamische Logikschaltung keinen Strom ziehen oder sehr niedrige Leckstrompegel haben, ausgenommen, wenn ein Signalknoten mit einer Spannung vorgeladen ist und anschließend selektiv entladen wird, um den kombinatorischen Ausgangs- oder Speicherzellenwert zu erzeugen. Eine statische Logikschaltung oder Speicherzelle zieht nur signifikanten Strom, wenn eine Zustandsänderung auftritt.
  • Es wurden Logikschaltungen umgesetzt, die virtuelle Stromversorgungsknoten enthalten, die nicht durchgesetzt oder auf eine verringerte Spannung gesetzt werden können, wenn die Logikschaltungen keine Auswertung vornehmen, oder mehrere Stromversorgungen können verwendet werden, um für kritische Schaltungen höhere Spannungen zu liefern. In einigen Umsetzungen wurden Schaltungen bereitgestellt, die die Stromversorgungsspannung erhöhen, die während der Auswertungsphase an die Logikschaltungen geliefert wird, um die statische Stromversorgungsspannung durch Einschließen eines Boost-Transistors zu verringern. Ein derartiges Boosting verringert allgemeine Anforderungen an die Stromversorgungsspannung. Die Energie, die beim Ändern der Spannung der virtuellen Stromversorgungsknotenspannung aufgewendet wird, gleicht jeden gewonnenen Vorteil aus, da die virtuellen Stromversorgungsknoten aufgrund der großen Anzahl von Einheiten, die mit den virtuellen Stromversorgungsknoten verbunden sind, typischerweise eine große Parallelkapazität haben.
  • Es wäre daher wünschenswert, eine virtuelle Stromversorgungsschaltung für synchrone Logik und weitere Logik mit einer vorhersagbaren Auswertungszeit bereitzustellen, die für Verringerungen der allgemeinen Stromversorgungsspannung und des Energieverbrauchs sorgt.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Gemäß einem Aspekt der vorliegenden Erfindung wird eine Schaltung bereitgestellt. Die Schaltung dient zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen. Die Schaltung enthält einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die zu einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert. Die Schaltung enthält ferner einen magnetischen Induktor mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung wird eine Schaltung bereitgestellt. Die Schaltung dient zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen. Die Schaltung enthält einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die parallel geschaltet sind, wobei jeder einen Anschluss hat, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, und einen weiteren Anschluss, der mit einer statischen Stromversorgung verbunden ist, die eine im Wesentlichen konstante Stromversorgungsspannung liefert. Die Schaltung enthält ferner einen magnetischen Induktor mit einem ersten Anschluss, der mit der statischen Stromversorgung verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die an den dynamischen internen Stromversorgungsknoten gekoppelt ist.
  • Gemäß noch einem weiteren Aspekt der vorliegenden Erfindung wird eine Schaltung bereitgestellt. Die Schaltung dient zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen. Die Schaltung enthält einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die parallel geschaltet sind, von denen jeder einen Nicht-Gate-Anschluss hat, der mit einer statischen Stromversorgung verbunden ist, die eine konstante Stromversorgungsspannung liefert, und einen weiteren Nicht-Gate-Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist. Ein Gate des ersten Transistors und zwei Gates des zweiten Transistors sind mit einem Boost-Taktgeber verbunden. Die Schaltung enthält ferner einen magnetischen Induktor mit einem ersten Anschluss, der mit dem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die auf eine Phase des Boost-Blocks anspricht.
  • Gemäß noch einem weiteren Aspekt der vorliegenden Erfindung wird ein Verfahren bereitgestellt. Das Verfahren dient zum Ausbilden einer integrierten Schaltung, die eine Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen bereitstellt. Das Verfahren enthält ein Ausbilden eines ersten Transistors und eines zweiten Transistors von unterschiedlichen Kanaltypen, die zu einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert. Das Verfahren enthält ferner ein Ausbilden eines chipintegrierten magnetischen Induktors mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die an den dynamischen internen Stromversorgungsknoten gekoppelt ist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung wird ein Verfahren bereitgestellt. Das Verfahren dient zum Ausbilden einer integrierten Schaltung, die eine Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen bereitstellt. Das Verfahren enthält ein Ausbilden eines ersten Transistors und eines zweiten Transistors von unterschiedlichen Kanaltypen, die parallel geschaltet sind, wobei jeder einen Anschluss hat, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, und einen weiteren Anschluss, der mit einer statischen Stromversorgung verbunden ist, die eine im Wesentlichen konstante Stromversorgungsspannung liefert. Das Verfahren enthält ferner ein Ausbilden eines chipintegrierten magnetischen Induktors mit einem ersten Anschluss, der mit der statischen Stromversorgung verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die an den dynamischen internen Stromversorgungsknoten gekoppelt ist.
  • Diese und weitere Merkmale und Vorteile werden aus der folgenden ausführlichen Beschreibung von veranschaulichenden Ausführungsformen davon offenkundig, die in Verbindung mit den begleitenden Zeichnungen gelesen werden sollte.
  • Figurenliste
  • Die folgende Beschreibung stellt Details von bevorzugten Ausführungsformen unter Bezugnahme auf die folgenden Figuren bereit, in denen:
    • 1 eine beispielhafte integrierte Schaltung (IC) gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 2 ein erstes Beispiel einer virtuellen Stromversorgungs-/ Boost-Schaltung gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 3 das erste Beispiel der in 2 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung zeigt, die unter Verwendung einer unterschiedlichen Darstellung gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt wird;
    • 4 das erste Beispiel der in 2 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung zeigt, die unter Verwendung einer weiteren unterschiedlichen Darstellung gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt wird;
    • 5 ein zweites Beispiel einer virtuellen Stromversorgungs-/Boost-Schaltung gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 6 das zweite Beispiel der in 5 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung zeigt, die unter Verwendung einer unterschiedlichen Darstellung gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt wird;
    • 7 ein drittes Beispiel einer virtuellen Stromversorgungs-/Boost-Schaltung gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 8 das dritte Beispiel der in 7 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung zeigt, die unter Verwendung einer unterschiedlichen Darstellung gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt wird;
    • 9 ein Blockschaubild eines beispielhaften Entwicklungsablaufs zum Ausbilden eines in Resonanz befindlichen virtuellen Versorgungs-Boosters für synchrone Logikschaltungen und weitere Schaltungen unter Verwendung eines chipintegrierten magnetischen Induktors gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 10 ein Blockschaubild eines beispielhaften Entwicklungsablaufs, der zum Beispiel für Entwicklung, Simulation, Test, Layout und Herstellung von Halbleiter-IC-Logik verwendet wird, gemäß einer Ausführungsform der vorliegenden Erfindung zeigt;
    • 11 ein Wellenform-Diagramm zeigt, das Signale in der virtuellen Stromversorgungs-/Boost-Schaltung von 3 gemäß einer Ausführungsform der vorliegenden Erfindung veranschaulicht; und
    • 12 bis 21 ein beispielhaftes Verfahren zum Erstellen einer virtuellen Stromversorgungs-/Boost-Schaltung gemäß einer Ausführungsform der vorliegenden Erfindung zeigen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Erfindung betrifft einen in Resonanz befindlichen virtuellen Versorgungs-Booster für synchrone Logikschaltungen und weitere Schaltungen unter Verwendung eines chipintegrierten magnetischen Induktors. Die weiteren Schaltungen können Arbeitsspeicherschaltungen oder Schaltungen mit einer Kombination von Logik und Arbeitsspeicher sein.
  • In einer Ausführungsform ist der in Resonanz befindliche virtuelle Versorgungs-Booster mit einem dynamischen internen Stromversorgungsknoten verbunden, der eine Stromversorgung z.B. für die vorher erwähnten synchronen Logikschaltungen und weitere Schaltungen liefert. In einer Ausführungsform ist der in Resonanz befindliche virtuelle Versorgungs-Booster fähig, für den dynamischen internen Stromversorgungsknoten während eines Bereitschaftsmodus eine geringere Energie als während eines aktiven Modus bereitzustellen.
  • Ein Vorteil einer Verwendung eines magnetischen Induktors in dem in Resonanz befindlichen virtuellen Versorgungs-Booster besteht darin, dass der magnetische Induktor im Vergleich mit einem herkömmlichen Induktor eine viel höhere Induktivität ermöglicht. Des Weiteren kann ein signifikant höherer Q-Wert bei einer gewünschten Frequenz durch den magnetischen Induktor erreicht werden. Zum Beispiel kann eine direkte Platzierung eines ferromagnetischen oder eines magnetischen Induktors über einem Makro den Q-Wert und L-Verlust sowie den Gesamtbereich verringern.
  • Die vorliegende Erfindung betrifft Einheiten, die Logikschaltungen enthalten, wie beispielsweise Arbeitsspeichereinheiten, Prozessoren und weitere Schaltungen, in denen Kleinspannungs- und Kleinleistungsbetrieb wünschenswert sind. Statt einer typischen statischen Stromversorgung werden virtuelle Stromversorgungsschienen verwendet, um die Spannung der Stromversorgungsschiene und somit den Energieverbrauch auf Grund von Leckagen zu verringern, wenn die Schaltungen in einem bestimmten „Makro“ oder Schaltungsblock nicht aktiv sind. In der hierin offenbarten beispielhaften Einheit kann die statische Stromversorgungsspannung weiter verringert werden, da eine oder mehrere Techniken zum dynamischen Boosting der virtuellen Stromversorgungsschienenspannung enthalten sind, die sich auf die Verwendung eines magnetischen Induktors erstrecken, um eine Resonanzschaltung und/oder aufeinanderfolgende mehrere Resonanz- oder Nicht-Resonanz-Boost-Schaltungen auszubilden, um den Anteil an verfügbarem Spannungs-Boost zu erhöhen. In den Resonanzverstärkungskonfigurationen wird die Energie, die zum Erhöhen der virtuellen Stromversorgungsschienenspannung verwendet wird, gespeichert und wiederverwendet, wenn die Spannung nach dem Boost-Intervall abnimmt, das eine Zeitsteuerung aufweist, die mit einem Taktgeber in Beziehung steht, der eine Auswertung in der Logikschaltung steuert. Der Taktgeber kann ein Taktgeber sein, der Vorlade- und Auswertungszyklen in einer dynamischen Logikschaltung steuert, oder ein Taktgeber, der sich zeitzustandsgebunden in einer statischen Logikschaltung ändert, was ebenfalls als eine Auswertung in dem Sinn betrachtet wird, wie der Begriff hierin verwendet wird.
  • Daher wird die vorliegende Erfindung in einer virtuellen Stromversorgungsschienen-Boosterschaltung und einem Betriebsverfahren verkörpert, das verringerte Anforderungen an Energieverbrauch und Versorgungsspannung bereitstellt.
  • In einer Ausführungsform enthält die Boosterschaltung einen ersten Transistor, der einen dynamischen internen Stromversorgungsknoten einer Gruppe von Logikschaltungen mit einer statischen Stromversorgung koppelt, die eine im Wesentlichen konstante Stromversorgungsspannung für die Gruppe von Logikschaltungen liefert. Der erste Transistor wird in Reaktion auf eine erste Phase eines Verstärkungstaktgebers nicht durchgesetzt, der mit einem funktionalen Taktgeber der Gruppe von Logikschaltungen synchronisiert ist, die eine Auswertung für dynamische Logikschaltungen und Zustandsänderungen für statische Logikschaltungen steuert. Die Boosterschaltung enthält auch einen Induktor, der mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist, um mit mindestens einer Kapazität in Resonanz zu schwingen, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist, sodass, wenn der erste Transistor gemäß einer zweiten Phase der Erhöhung nicht durchgesetzt wird, die einer Auswertungszeit der Gruppe von Logikschaltungen entspricht, eine Spannung des dynamischen internen Stromversorgungsknotens in der Stärke auf einen Wert zunimmt, der im Wesentlichen größer als eine Stärke der Stromversorgungsspannung ist, indem der Induktor mit der Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist. Die Energie, die zum Erhöhen der Spannung des dynamischen internen Stromversorgungsknotens verwendet wird, wird durch den Induktor gespeichert und wiederverwendet. Ein zweiter Boost-Transistor, der eine FINFET-Einheit sein kann, kann durch eine weitere Phase des Taktgebers gesteuert werden, um eine Anstiegsflanke des Taktgebers zum Starten der Resonanzerhöhung zu koppeln. Die weitere Phase des Taktgebers kann eine verzögerte Version des Boost-Taktgebersignals sein. Zwar beschreiben unterschiedliche Ausführungsformen der vorliegenden Erfindung die Verwendung einer FINFET-Einheit als den „zweiten Boost-Transistor“, doch sollte klar sein, dass auch andere Typen von Transistoren verwendet werden können, wobei der Erfindungsgedanke der vorliegenden Erfindung gewahrt bleibt. Zum Beispiel kann auch ein Mehrfach-Gate-Transistor, ein Gate-All-Around- (GAA) Transistor usw. gemäß den Lehren der vorliegenden Erfindung verwendet werden, wobei der Erfindungsgedanke der vorliegenden Erfindung gewahrt bleibt. Tatsächlich können auch Kohlenstoff-Nanoröhren und andere Technologien verwendet werden, wobei der Erfindungsgedanke der vorliegenden Erfindung gewahrt bleibt. Diese und weitere Variationen der vorliegenden Erfindung lassen sich von einem Fachmann problemlos anhand der in der vorliegenden Erfindung bereitgestellten Lehren bestimmen.
  • In einer weiteren Ausführungsform kann die Boosterschaltung vielfältige Boost-Transistoren enthalten, die durch unterschiedliche Phasen des Taktgebers gesteuert werden, sodass die Resonanzerhöhungs-Schaltung fortlaufend angeregt wird, den Anteil an Spannungsanstieg an dem dynamischen internen Stromversorgungsknoten zu erhöhen, und in einigen Ausführungsformen können mehrere Induktoren durch mehrere Boost-Einheiten mit dem dynamischen internen Stromversorgungsknoten gekoppelt und fortlaufend angeregt werden, den Anteil an Spannungsanstieg zu erhöhen.
  • Unter folgender Bezugnahme auf die Figuren und insbesondere unter Bezugnahme auf 1 wird eine beispielhafte integrierte Schaltung (IC) 110 gezeigt, die eine in einen Prozessor integrierte Schaltung, eine Speichereinheit oder eine andere höchstintegrierte Schaltung (VLSI) darstellen kann, die Logik und Speicher gemäß einer Ausführungsform der vorliegenden Erfindung enthält. In der IC 100 enthält eine Logikschaltungsgruppe 111 (oder „Makro“) beispielhafte Logik-Gates 112, Signalspeicher 114 und Arbeitsspeicher 116, für alle die Betriebsenergie von einem dynamischen internen Stromversorgungsknoten 105 mit einer Spannung VDDV bereitgestellt wird, die dynamisch verändert werden kann, um den Energieverbrauch zu verringern, wenn die Logikschaltungsgruppe 111 nicht arbeitet oder, wie im Fall des vorliegenden Beispiels, wenn die Schaltungen in der Logikschaltungsgruppe 111 nicht dafür gerüstet sind, um eine Zustandsänderung zu generieren. Die Zustandsänderungen in der Logikschaltungsgruppe 111 werden durch ein oder mehrere Taktgebersignale synchronisiert, die von einem Taktgenerator 118 bereitgestellt werden. Der beispielhafte Taktgenerator 118 enthält eine Phasenverriegelungsschleife (PLL) 124, die einen Hochfrequenztakt generiert, und eine Teilerlogik 126, die unterschiedliche Taktphasen und Steuersignale aus dem Hochfrequenztakt generiert, einschließlich eines Taktsignals Ick, das für einen Eingang eines programmierbaren Zeitsteuerungsblocks 122 bereitgestellt wird, der Taktsignale Ick0, Ick1, Ick2 generiert, die für die Logikschaltungsgruppe 111 bereitgestellt werden, und eine Boost-Taktgebererhöhung, die für eine virtuelle Stromversorgungs-/Boost-Schaltung 120 in der Logikschaltungsgruppe 111 bereitgestellt wird.
  • In der virtuellen Stromversorgungs-/Boost-Schaltung 120 enthaltene Techniken generieren erhöhte Spitzenwerte einer Spannung VDDV auf dem dynamischen internen Stromversorgungsknoten 105, die wesentlich größer als eine statische Stromversorgungsspannung VDD sind, die an den Eingang der virtuellen Stromversorgungs-/Boost-Schaltung 120 geliefert wird, und die weitere Schaltungen in der integrierten Schaltung 110 betreibt, sodass der Wert der statischen Stromversorgungsspannung VDD verringert werden kann, wobei die Leistungsanforderungen in der dynamischen Schaltungsgruppe 111 immer noch erfüllt werden. Bestimmte Techniken zum Bereitstellen der erhöhten Spannung VDDV werden im Folgenden unter Bezugnahme auf 2 bis 8 beschrieben. Im Allgemeinen generiert die Stromversorgungs-/Boost-Schaltung 120 die Spannung VDDV , um einen erhöhten Anteilswert von Ausgangsspannung VDDV auf bestimmte Zeiten auszurichten, für die der Wert der Spannung, die an beispielhafte Logik-Gates 112, Signalspeicher 114 und Arbeitsspeicher 116 geliefert wird, hinsichtlich der Leistung am kritischsten ist, wodurch es möglich wird, den statischen Wert einer statischen Stromversorgungsspannung VDD für die virtuelle Stromversorgungs-/Boost-Schaltung 120 zu verringern. Allgemein wird der erhöhte Anteil der Ausgangsspannung VDDV am Einstellungsintervall angeordnet, bevor eine statische oder dynamische Auswertung durch die Taktsignale Ick0, Ick1, Ick2 begonnen wird. Der programmierbare Zeitsteuerungsblock 122 enthält abgegriffene Verzögerungsleitungen 128, die durch Puffer/Inverter und Selektoren ausgebildet werden, sodass die Zeitsteuerung von Ick0, Ick1, Ick2 und die Boost-Taktgebererhöhung für unmittelbare Frequenz-, Spannungs- und andere Umgebungs- und Schaltungsbedingungen optimiert werden. Die integrierte Schaltung 110, wie in 1 veranschaulicht, ist jedoch nur ein Beispiel und alternativ können feste Taktpufferketten verwendet werden. In einer Ausführungsform können Signale (Erhöhung), die zum Verstärkungsblock 120 gelangen, unterschiedlich gepuffert werden, um Signalspeicher, Arbeitsspeicher und Logik entsprechend ihren Zeitsteuerungsregeln zu erhöhen. Somit wird der Verstärkungspegel gemäß entsprechenden Zeitsteuerungsregeln bereitgestellt.
  • Unter folgender Bezugnahme auf 2 wird ein erstes Beispiel einer virtuellen Stromversorgungs-/Boost-Schaltung 200, die zum Umsetzen der virtuellen Stromversorgungs-/Boost-Schaltung 120 der integrierten Schaltung 110 von 1 verwendet werden kann, gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. In dem Beispiel von 2 wird die virtuelle Stromversorgungs-/Boost-Schaltung 200 mit einer Anordnung von Arbeitsspeicherzellen 290 gezeigt, die durch eine Spannung Vddv versorgt und durch Wortleitungen (Wl_0 bis Wl_n) und Bit-Leitungen (blc0 bis blt0) gesteuert werden. Die virtuelle Stromversorgungs-/Boost-Schaltung 200 enthält einen ersten Transistor P1 und einen zweiten Boost-Transistor N1. Der Boost-Transistor N1 wird durch einen FinFET eines n-Typs umgesetzt, dessen Gate durch ein „IN“-Signal gesteuert wird (auf das hierin austauschbar auch als „Erhöhungs“-Signal verwiesen wird), das eine große kapazitive Kopplung von Gate zu Substrat aufweist und für derartige Anwendungen vorteilhaft ist. Im Bereitschaftsmodus ist „IN“ „Low“, somit liegt die virtuelle Anordnungsversorgungsspannung „Vddv“ bei „Vdd“. Wenn sowohl bei dessen Drain als auch Source „Vdd“ vorliegt, liegt auch bei dem vollständig verarmten Substrat des Verstärkers nFET N1Vdd“ vor. Die virtuelle Stromversorgungs-/Boost-Schaltung 200 enthält auch einen Induktor L1 zwischen diesen Transistoren (P1 und N1) und eine Arbeitsspeicherzelle (nicht gezeigt). Der Induktor ML1 besteht aus magnetischem Material und/oder enthält dieses auf andere Weise (und wird hierin austauschbar als „magnetischer Induktor“ ML1 bezeichnet).
  • Während eines Lesevorgangs steigt das „IN“-Signal auf „High“, wodurch der Transistor P1 ausgeschaltet wird. Der Anstieg des Gate-Signals „IN“ ist kapazitiv mit dem schwebenden Substrat des Booster-Transistors N1 gekoppelt und bringt das Substratpotential somit auf einen signifikant über VDD liegenden Pegel.
  • Daher ist der Source-Knoten des Booster-Transistors N1 (der der virtuelle Anordnungsversorgungsknoten ist) kapazitiv durch die Kapazität von Substrat zu Source gekoppelt.
  • Der magnetische Induktor ML bringt die erhöhte Versorgung in Resonanz und unterstützt eine Schreibfähigkeit, indem die Zellenversorgung zuerst zusammenbricht und anschließend die Versorgungsspannung erhöht wird.
  • Unter Bezugnahme auf 3 wird das erste Beispiel der in 2 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung 200 unter Verwendung einer unterschiedlichen Darstellung 300 gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt. Die unterschiedliche Darstellung 300 zeigt N1 und P1 von 2 als ein Paar 381 von Invertern (die austauschbar auch als „Booster“ bezeichnet werden) und enthält auch den magnetischen Induktor ML1. Insbesondere werden der Booster und der magnetische Induktor ML1 im Verhältnis zu den Gates 112, den Signalspeichern 114 und dem Arbeitsspeicher 116 gezeigt, die in 1 dargestellt sind. Der magnetische Induktor ML1, der ein in Resonanz befindlicher ferromagnetischer Verstärkerinduktor ist, ist zwischen einen Ausgang von N1 und einen Ausgang „OUT“ (Vddv) der virtuellen Stromversorgungs-/Boost-Schaltung 200 geschaltet. Der magnetische Induktor ML1 hilft dabei, die erhöhte Versorgung zu erhöhen und in Resonanz zu bringen, sodass eine doppelte Versorgung ausgeschlossen werden kann.
  • Unter Bezugnahme auf 4 wird das erste Beispiel der in 2 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung 200 unter Verwendung einer unterschiedlichen Darstellung 400 gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt. Die unterschiedliche Darstellung 400 zeigt eine Parallelkapazität CSHUNT aller Einheiten (z.B. Gates, Signalspeicher, Arbeitsspeicher), die mit dem dynamischen internen Stromversorgungsknoten 105 verbunden sind, und jede weitere Kapazität C1, die optional in der virtuellen Stromversorgungs-/Boost-Schaltung aufgenommen werden kann. Daher wird die folgende Beschreibung unter Bezugnahme auf CSHUNT und C1 vorgenommen.
  • Der erste Transistor P1 klemmt den Ausgang der virtuellen Stromversorgungsspannung VDDV beim Wert der statischen Stromversorgungsspannung VDD fest, wenn die Boost-Taktgebererhöhung nicht durchgesetzt wird, d.h. sich in dem Beispiel im Kleinspannungszustand befindet. Der zweite Boost-Transistor N1 hat ein Substrat, das anfänglich den Wert der statischen Stromversorgungsspannung VDD hat, wenn die Eingangs-Taktsignalerhöhung nicht durchgesetzt wird. Die Anstiegsflanke der Boost-Taktgebererhöhung ist über das Gate des Boost-Transistors N1 kapazitiv mit einem Anschluss des magnetischen Induktors ML1 gekoppelt, der den ersten Transistor P1 und den Boost-Transistor N1 mit dem dynamischen internen Stromversorgungsknoten 105 koppelt, wenn sich der Boost-Transistor N1 einschaltet. Da der Strom durch den magnetischen Induktor ML1 vor der Anstiegsflanke der Boost-Taktgebererhöhung Null ist, und da das Substrat des Boost-Transistors N1 auf dem Wert der statischen Stromversorgungsspannung VDD ist, wenn die Flanke der Boost-Taktgebererhöhung durch den magnetischen Induktor ML1 mit dem dynamischen internen Stromversorgungsknoten gekoppelt wird, verursacht ein schneller Anstieg des Stroms durch den magnetischen Induktor ML1, dass die Spannung des dynamischen internen Stromversorgungsknotens VDDV mit einer Wellenform ansteigt, die durch die Serienresonanzfrequenz des magnetischen Induktors ML1 in Kombination mit der Kapazität CSHUNT und der Kapazität C1 gesteuert wird. Da der Boost-Transistor N1 jedoch ebenfalls eingeschaltet wird, und da die Parallelkapazität CSHUNT auch parallel zu Leck- und aktiven Strömen der mit dem dynamischen internen Stromversorgungsknoten 105 verbundenen Einheiten geschaltet ist, wird das Resonanzverhalten des magnetischen Induktors ML1 mit der gesamten Kapazität gedämpft, und die Leitung des Boost-Transistors N1 dient dazu, zu verhindern, dass die Spannung des dynamischen internen Stromversorgungsknotens VDDV weit unter die statische Stromversorgungsspannung VDD fällt. Im Allgemeinen sollte die Spannung des internen Stromversorgungsknotens VDDV nicht unter VDD bis VT fallen, wobei VT die Schwellenwertspannung des Boost-Transistors N1 ist.
  • Unter folgender Bezugnahme auf 5 wird ein zweites Beispiel einer virtuellen Stromversorgungs-/Boost-Schaltung 500, die alternativ zum Umsetzen der virtuellen Stromversorgungs-/Boost-Schaltung 120 der integrierten Schaltung 110 von 1 verwendet werden kann, gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. Dieses Beispiel wird unter Verwendung einer ähnlichen Darstellung wie 3 dargestellt (d.h. es wird in Bezug auf die Gates 112, die Signalspeicher 114 und den Arbeitsspeicher 116 gezeigt, die in 1 dargestellt sind). Die virtuelle Stromversorgungs-/Boost-Schaltung 500 ist der virtuellen Stromversorgungs-/Boost-Schaltung 300 von 3 ähnlich, daher werden im Folgenden nur Unterschiede zwischen der virtuellen Stromversorgungs-/Boost-Schaltung 500 und der virtuellen Stromversorgungs-/Boost-Schaltung 300 beschrieben. In der virtuellen Stromversorgungs-/Boost-Schaltung 500 wird ein Verbindungskondensator C2 über (parallel zu) dem magnetischen Induktor ML1 hinzugefügt. Wenn IN0 dynamisch verändert wird und sich erhöht, wird VDDV mit einem Wert gekoppelt, der höher als die Versorgungsspannung ist, wodurch die Spannung noch weiter ansteigt oder erhöht wird. Somit wirkt der Verbindungskondensator C2 als ein Boost-Kondensator.
  • Unter Bezugnahme auf 6 wird das zweite Beispiel der in 5 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung 500 unter Verwendung einer unterschiedlichen Darstellung 600 gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt. Die unterschiedliche Darstellung 600 zeigt eine Parallelkapazität CSHUNT aller Einheiten (z.B. Gates, Signalspeicher, Arbeitsspeicher), die mit dem dynamischen internen Stromversorgungsknoten 105 verbunden sind, und jede weitere Kapazität C1, die optional in der virtuellen Stromversorgungs-/Boost-Schaltung aufgenommen werden kann. Ähnlich wie in 5 wird der Verbindungskondensator C2 über (parallel zu) dem magnetischen Induktor ML1 hinzugefügt.
  • Unter folgender Bezugnahme auf 7 wird ein drittes Beispiel einer virtuellen Stromversorgungs-/Boost-Schaltung 700, die alternativ zum Umsetzen der virtuellen Stromversorgungs-/Boost-Schaltung 120 der integrierten Schaltung 110 von 1 verwendet werden kann, gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. Die virtuelle Stromversorgungs-/Boost-Schaltung 700 ist der virtuellen Stromversorgungs-/Boost-Schaltung 300 von 3 ähnlich, daher werden nur Unterschiede zwischen der virtuellen Stromversorgungs-/Boost-Schaltung 500 und der virtuellen Stromversorgungs-/Boost-Schaltung 300 im Folgenden beschrieben. In der virtuellen Stromversorgungs-/Boost-Schaltung 700 ist der magnetische Induktor ML1 zwischen einer Stromversorgungsspannung Vdd und einem Ausgang des Verstärkers 389 verbunden (d.h. dem Ausgang „OUT“ (Vddv) der virtuellen Stromversorgungs-/Boost-Schaltung 700). Somit sind ein Anschluss des magnetischen Induktors ML1 und die Source- und Drain-Anschlüsse des FinFET N1 gemeinsam mit der Spannung Vdd verbunden, wobei der andere Anschluss des magnetischen Induktors ML1 mit der Spannung Vddv verbunden ist (dem dynamischen internen Stromversorgungsknoten 105).
  • Unter Bezugnahme auf 8 wird das dritte Beispiel der in 7 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung 700 unter Verwendung einer unterschiedlichen Darstellung 800 gemäß einer Ausführungsform der vorliegenden Erfindung dargestellt. Die unterschiedliche Darstellung 800 zeigt eine Parallelkapazität CSHUNT aller Einheiten (z.B. Gates, Signalspeicher, Arbeitsspeicher), die mit dem dynamischen internen Stromversorgungsknoten 105 verbunden sind, und jede weitere Kapazität C1, die optional in der virtuellen Stromversorgungs-/Boost-Schaltung aufgenommen werden kann. Ähnlich wie in 6 ist der magnetische Induktor ML1 zwischen einer Stromversorgungsspannung Vdd und einem Ausgang des Verstärkers 389 anschlossen (d.h. dem Ausgang „OUT“ (Vddv) der virtuellen Stromversorgungs-/Boost-Schaltung 700).
  • Unter Bezugnahme auf 9 wird ein Blockschaubild eines beispielhaften Verfahrens 900 zum Ausbilden eines in Resonanz befindlichen virtuellen Versorgungs-Boosters für synchrone Logikschaltungen und weitere Schaltungen unter Verwendung eines chipintegrierten magnetischen Induktors gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt.
  • In Schritt 910, ein Herstellen von standardmäßigem Front-End-Of-Line- (FEOL) CMOS.
  • In Schritt 920, ein Herstellen von standardmäßigem Middle-Of-Line (MOL).
  • In Schritt 930, ein Herstellen von Back-End-Of-Line (BEOL) einschließlich Kontakten zu Induktoren.
  • In Schritt 940, ein Aufbringen einer dielektrischen Isolationsschicht.
  • In Schritt 950, ein Herstellen des magnetischen Induktors bzw. der magnetischen Induktoren.
  • Unter Bezugnahme auf 10 wird ein beispielhafter Entwicklungsablauf 1000, der zum Beispiel für Entwicklung, Simulation, Test, Layout und Herstellung von Halbleiter-IC-Logik verwendet wird, gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. Der Entwicklungsablauf 1000 enthält Prozesse, Maschinen und/oder Mechanismen zum Verarbeiten von Auslegungsstrukturen oder -einheiten zum Generieren von logischen oder anderweitig funktional gleichwertigen Darstellungen der oben beschriebenen und in den 1 bis 8 gezeigten Auslegungsstrukturen und/oder -einheiten. Die Auslegungsstrukturen, die durch den Entwicklungsablauf 1000 verarbeitet und/oder generiert werden, können auf maschinenlesbaren Übertragungs- oder Speichermedien encodiert werden, um Daten und/oder Anweisungen aufzunehmen, die bei dem Ausführen oder einer anderweitigen Verarbeitung auf einem Datenverarbeitungssystem eine logisch, strukturell, mechanisch oder anderweitig funktional gleichwertige Darstellung von Hardwarekomponenten, Schaltungen, Einheiten oder Systemen generieren. Maschinen enthalten alle Maschinen, die in einem IC-Entwicklungsprozess wie Entwickeln, Herstellen oder Simulieren einer Schaltung, Komponente, Einheit oder eines Systems verwendet werden, sie sind aber nicht darauf beschränkt. Zu Maschinen können beispielsweise zählen: Lithografiemaschinen, Maschinen und/oder Ausrüstung zum Generieren von Masken (z.B. Elektronenstrahlschreiber), Computer oder Ausrüstung zum Simulieren von Auslegungsstrukturen, alle im Herstellungs- oder Testprozess verwendeten Vorrichtungen oder alle Maschinen zum funktionellen Programmieren von gleichwertigen Darstellungen der Auslegungsstrukturen in jedem Medium (z.B. eine Maschine zum Programmieren eines programmierbaren Gate-Arrays).
  • Der Entwicklungsablauf 1000 kann je nach Typ der entwickelten Darstellung unterschiedlich sein. Zum Beispiel kann sich ein Entwicklungsablauf 1000 zum Erstellen einer anwendungsspezifischen IC (ASIC) von einem Entwicklungsablauf 1000 zum Entwickeln einer Standardkomponente oder von einem Entwicklungsablauf 1000 zum Instantiieren der Auslegung in ein programmierbares Array, zum Beispiel eines programmierbaren Gate-Arrays (PGA) oder eines feldprogrammierbaren Gate-Arrays (FPGA) unterscheiden, die von Altera Inc. oder Xilinx Inc. angeboten werden.
  • 10 veranschaulicht mehrere derartige Auslegungsstrukturen einschließlich einer Eingangsauslegungsstruktur 1020, die bevorzugt durch einen Entwicklungsprozess 1010 verarbeitet wird. Die Eingangsauslegungsstruktur 1020 kann eine logische Simulationsauslegungsstruktur sein, die durch den Entwicklungsprozess 1010 generiert und verarbeitet wird, um eine logisch gleichwertige funktionale Darstellung einer Hardware-Einheit zu erzeugen. Die Eingangsauslegungsstruktur 1020 kann auch oder alternativ Daten und/oder Programmanweisungen aufweisen, die bei Verarbeitung durch den Entwicklungsprozess 1010 eine funktionale Darstellung der physischen Struktur einer Hardware-Einheit generieren. Die Eingangsauslegungsstruktur 1020 kann unter Verwendung von elektronischer computergestützter Entwicklung (ECAD) generiert werden, wie zum Beispiel durch einen Kernentwickler/-designer umgesetzt, gleichgültig, ob funktionale und/oder strukturelle Auslegungsmerkmale dargestellt werden. Wenn die Eingangsauslegungsstruktur 1020 auf einem maschinenlesbaren Datenübertragungs-, Gate-Array- oder Speichermedium encodiert ist, können Zugriff und Verarbeitung durch ein oder mehrere Hardware- und/oder Software-Module in dem Entwicklungsprozess 1010 erfolgen, um eine elektronische Komponente, eine Schaltung, ein Elektronik- oder Logik-Modul, eine Vorrichtung, eine Einheit oder ein System zu simulieren oder anderweitig funktional darzustellen, wie in den 1 bis 8 gezeigt. Daher kann die Eingangsauslegungsstruktur 1020 Dateien oder andere Datenstrukturen aufweisen, einschließlich von Menschen und/oder Maschinen lesbarem Quellcode, kompilierte Strukturen und vom Computer ausführbare Code-Strukturen, die bei Verarbeitung durch ein Datenverarbeitungssystem zur Entwicklung oder Simulation Schaltungen oder andere Ebenen von Hardware-Logikauslegungen funktional simulieren oder anderweitig darstellen. Derartige Datenstrukturen können Entwicklungsentitäten oder andere Datenstrukturen in Hardware-Beschreibungssprache (HDL) enthalten, die HDL-Designsprachen einer niedrigeren Ebene wie Verilog und VHDL und/oder Designsprachen höherer Ebene wie C oder C++ entsprechen und/oder damit kompatibel sind.
  • Der Entwicklungsprozess 1010 verwendet und integriert bevorzugt Hardware- und/oder Software-Module zum synthetischen Erstellen, Umsetzen oder anderweitigen Verarbeiten einer funktionalen Entsprechung einer Auslegung/Simulation der Komponenten, Schaltungen, Einheiten oder logischen Strukturen, die in den 1 bis 8 gezeigt sind, um eine Netzliste 1080 zu generieren, die Auslegungsstrukturen wie die Eingangsauslegungsstruktur 1020 enthalten kann. Die Netzliste 1080 kann zum Beispiel kompilierte oder anderweitig verarbeitete Datenstrukturen aufweisen, die eine Liste von Drähten, diskreten Komponenten, Logik-Gates, Steuerschaltungen, 1010-Einheiten, Modellen usw. darstellen, die die Verbindungen mit anderen Elementen und Schaltungen in der Auslegung einer integrierten Schaltung beschreibt. Die Netzliste 1080 kann unter Verwendung eines iterativen Prozesses synthetisch erstellt werden, in dem die Netzliste 1080 erneut einmal oder mehrmals synthetisch erstellt wird, abhängig von Auslegungspezifikationen und -parametern für die Einheit. Wie bei anderen hierin beschriebenen Auslegungsstrukturtypen kann die Netzliste 1080 auf einem maschinenlesbaren Datenspeichermedium aufgezeichnet oder in einem programmierbaren Gate-Array programmiert werden. Der Datenträger kann ein nicht flüchtiges Speichermedium wie ein Magnetplatten- oder optisches Plattenlaufwerk, ein programmierbares Gate-Array, ein Kompakt-Flash- oder anderer Flashspeicher sein. Außerdem oder alternativ kann der Datenträger ein System- oder Cache-Speicher, Pufferspeicher oder elektrisch oder optisch leitende Einheiten oder Materialien sein, auf denen Datenpakete übertragen und temporär über das Internet oder andere vernetzte geeignete Mittel gespeichert werden können.
  • Der Entwicklungsprozess 1010 kann Hardware- und Software-Module zum Verarbeiten einer Vielfalt von Eingangsdaten-Strukturtypen enthalten, einschließlich der Netzliste 1080. Derartige Datenstrukturtypen können sich zum Beispiel in Bibliothekselementen 1030 befinden und eine Gruppe von allgemein verwendeten Elementen, Schaltungen und Einheiten enthalten, einschließlich Modelle, Layouts und symbolische Darstellungen für eine bestimmte Herstellungstechnologie (z.B. unterschiedliche Technologieknoten, 32 nm, 45 nm, 90 nm usw.). Die Datenstrukturtypen können ferner Auslegungsspezifikationen 1040, Charakterisierungsdaten 1050, Prüfungsdaten 1060, Entwurfsregeln 1070 und Testdaten-Dateien 1085 enthalten, die Eingangstestmuster, Ausgangstestmuster und weitere Testinformationen enthalten. Der Entwicklungsprozess 1010 kann ferner zum Beispiel standardmäßige mechanische Entwicklungsprozesse wie Belastungsanalyse, thermische Analyse, Simulation von mechanischen Ereignissen, Prozesssimulation für Vorgänge wie Gießen, Ausformen und Formpressen usw. enthalten. Ein Fachmann für mechanische Konstruktion kann den Umfang möglicher mechanischer Konstruktionswerkzeuge und Anwendungen einschätzen, die in dem Entwicklungsprozess 1010 verwendet werden, ohne vom Schutzumfang und dem Erfindungsgedanken der Erfindung abzuweichen. Der Entwicklungsprozess 1010 kann auch Module zum Ausführen von standardmäßigen Schaltungsentwicklungsprozessen enthalten, wie beispielsweise Zeitablaufanalyse, Überprüfung, Entwurfsregelüberprüfung, Platzierung und Weiterleitung von Operationen usw.
  • Der Entwicklungsprozess 1010 verwendet und integriert Werkzeuge für logische und physische Konstruktion wie HDL-Compiler und Werkzeuge zum Erstellen von Simulationsmodellen zum Verarbeiten einer Auslegungsstruktur 1020 zusammen mit einigen oder allen der dargestellten unterstützenden Datenstrukturen in Verbindung mit jeder weiteren mechanischen Konstruktion oder weiteren Daten (sofern zutreffend), um eine zweite Auslegungsstruktur 1090 zu generieren. Die Auslegungsstruktur 1090 befindet sich auf einem Speichermedium oder programmierbaren Gate-Array in einem Datenformat, das für den Datenaustausch von mechanischen Einheiten und Strukturen verwendet wird (z.B. Informationen, die in einem Format IGES, DXF, Parasolid XT, JT, DRG oder irgendeinem anderen geeigneten Format gespeichert sind, um derartige mechanische Auslegungsstrukturen zu speichern oder wiederzugeben). Ähnlich der Eingangsauslegungsstruktur 1020 weist die Auslegungsstruktur 1090 bevorzugt eine oder mehrere Dateien, Datenstrukturen oder andere durch einen Computer encodierte Daten oder Anweisungen auf, die sich in Übertragungs- oder Datenspeichermedien befinden und bei Verarbeitung durch ein ECAD-System eine logische oder anderweitig funktionale Entsprechungsform von einer oder mehreren der Ausführungsformen der Erfindung generieren, wie in den 1 bis 8 gezeigt. In einer Ausführungsform kann die Auslegungsstruktur 1090 ein kompiliertes ausführbares HDL-Simulationsmodell aufweisen, das die in den 1 bis 8 gezeigten Einheiten funktional simuliert.
  • Die Auslegungsstruktur 1090 kann auch ein Datenformat verwenden, das für den Austausch von Layout-Daten von integrierten Schaltungen und/oder ein symbolisches Datenformat verwendet wird (z.B. Informationen, die in einem GDSII (GDS1), GL1, OASIS, Map-Dateien oder irgendeinem anderen geeigneten Format gespeichert sind, um derartige Entwurfsdatenstrukturen zu speichern). Die Auslegungsstruktur 1090 kann Informationen aufweisen wie beispielsweise symbolische Daten, Map-Dateien, Testdaten-Dateien, Entwurfsinhaltsdateien, Herstellungsdaten, Layout-Parameter, Drähte, Metallebenen, Bohrungen, Formen, Daten für den Leitweg durch die Fertigungsstraße und alle anderen Daten, die für einen Hersteller oder andere Designer/Entwickler erforderlich sind, um eine Einheit oder Struktur zu erzeugen, wie oben beschrieben und in den 1 bis 8 und 12 bis 21 gezeigt. Die Auslegungsstruktur 1090 kann dann mit einer Phase 1095 fortfahren, in der die Auslegungsstruktur 1090 zum Beispiel: mit dem Tapeout fortfährt, für die Fertigung freigegeben wird, für ein Maskenhaus freigegeben wird, zu einem weiteren Designhaus gesendet wird, zum Kunden zurückgeschickt wird usw.
  • Unter Bezugnahme auf 11 werden Wellenformen in der in 3 gezeigten virtuellen Stromversorgungs-/Boost-Schaltung 300 gemäß einer Ausführungsform der vorliegenden Erfindung gezeigt. Zum Zeitpunkt t0 steigt die Boost-Taktgebererhöhung an und schaltet den Transistor P1 aus, wodurch verursacht wird, dass die Spannung über dem Induktor L1 ansteigt. Die Boost-Taktgebererhöhung wird auch über das Gate des Boost-Transistors N1 an die Source des Boost-Transistors N1 gekoppelt, was zusätzlich zum Spannungsanstieg der Spannung des dynamischen internen Stromversorgungsknotens VDDV beiträgt. Wenn die Boost-Taktgebererhöhung auf einem nächsten Zyklus zum Zeitpunkt t1 durchgesetzt wird, weil der Induktor L1 die Spannung des dynamischen internen Stromversorgungsknotens VDDV von der Source des Transistors P1 abgekoppelt hat, wird der Source-Anschluss des Transistors P1 und die Source des Boost-Transistors N1 an die statische Stromversorgungsspannung VDD festgeklemmt, während die Spannung des dynamischen internen Stromversorgungsknotens VDDV weiterhin einer sinusförmigen Form folgt, die direkt vor der nächsten Deaktivierung einer Boost-Taktgebersignalerhöhung einen Spitzenwert erreicht. Wenn, wie in 11 gezeigt, die Boost-Taktgebererhöhung zum Zeitpunkt t2 , nicht durchgesetzt wird, ist die Spannung des dynamischen internen Stromversorgungsknotens VDDV wesentlich größer als die statische Stromversorgungsspannung VDD und war für ein Intervall ausreichend, um Einstellungszeitpunkte für die dynamischen Schaltungen sicherzustellen, die auswerten, wann die Boost-Taktgebererhöhung nicht durchgesetzt wird. Zum Beispiel wird ein digitaler Schaltungstaktgeber dick gezeigt, der eine Auswertung eines Schaltungsblocks über eine Abfallflanke steuert. Ein beispielhaftes Einstellungsintervall tSU wird gezeigt, um zu veranschaulichen, wie die Zeitsteuerung der Boost-Taktgebererhöhung in Bezug auf einen anderen Taktgeber gesteuert wird, der eine Zustandsauswertung einer Logikschaltung steuert (einschließlich Speicher- oder Lesevorgängen des Arbeitsspeichers), sodass die Spannung des dynamischen internen Stromversorgungsknotens VDDV während einer kritischen Zeitperiode einen erhöhten Wert hat, während der die erhöhte Spannung Leistung gegenüber einer Leistung verbessert, die bei dem niedrigeren Wert der statischen Stromversorgungsspannung VDD erreicht würde, d.h. ohne Boost-Schaltung 300. Die virtuelle Stromversorgungs-/Boost-Schaltung 300 stellt nicht nur eine zeitgesteuerte Erhöhung der Spannung des dynamischen internen Stromversorgungsknotens VDDV bereit, sondern die Energie, die zum Erzeugen der Erhöhung erforderlich ist, die aufgrund der großen Parallelkapazität CSHUNT aller Einheiten wesentlich ist, die mit dem dynamischen internen Stromversorgungsknoten 105 verbunden sind, wird im Induktor L1 während der Zeit vor der Durchsetzung der Boost-Taktgebererhöhung auch gespeichert und verwendet, um ein Erzeugen des nächsten Spitzenwerts der Spannung des dynamischen internen Stromversorgungsknotens VDDV vor der nächsten Nicht-Durchsetzung der Boost-Taktgebererhöhung, d.h. der nächsten Auswertung zu unterstützen.
  • 12 bis 21 zeigen ein beispielhaftes Verfahren 1200 zum Erstellen einer virtuellen Stromversorgungs-/Boost-Schaltung gemäß einer Ausführungsform der vorliegenden Erfindung. Hinsichtlich des Verfahrens 1200 wurden bestimmte Materialien zu Veranschaulichungszwecken angegeben. Es sollte jedoch klar sein, dass die vorliegende Erfindung nicht allein auf die genannten Materialien beschränkt ist und somit auch andere Materialien verwendet werden können, was dem Fachmann problemlos anhand der hierin bereitgestellten Lehren der vorliegenden Erfindung klar sein wird, wobei der Erfindungsgedanke der vorliegenden Erfindung beibehalten wird.
  • Unter Bezugnahme auf 12, in Schritt 1205, ein Bereitstellen eines Wafer-Substrats 1301.
  • Unter Bezugnahme auf 13, in Schritt 1210, ein Ausbilden von Front-End-Of-Line-(FEOL)/Back-End-Of-Line- (BEOL) Schichten 1302 für Schaltungen und ein Ausbilden einer in Resonanz befindlichen Taktgeberschaltung 1303 in den FEOL/BEOL-Schichten 1302.
  • Unter Bezugnahme auf 14, in Schritt 1215, ein Verarbeiten der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1215 zum Beispiel ein Hinzufügen einer Schicht mit niedrigem k-Wert oder eines SiO2-Dielektrikums 1304 umfassen.
  • Unter Bezugnahme auf 15, in Schritt 1220, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1210 zum Beispiel ein Ausbilden einer unteren Siebebene 1305 durch herkömmliche Lithografie und ein Ätzen gefolgt von Metallfüllung und chemisch-mechanischem Polieren umfassen.
  • Unter Bezugnahme auf 16, in Schritt 1225, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1225 zum Beispiel ein Aufbringen eines geschichteten magnetischen Materials 1306 umfassen. In einer Ausführungsform besteht das geschichtete magnetische Material 1306 aus Cobalt (Co), das magnetisches Material FeTaN und/oder FeNi und/oder FeAlO und/oder jede Kombination davon enthält und mit einem dielektrischen Material beschichtet ist, das Siliciumdioxid und/oder Siliciumnitrid enthält, aber nicht darauf beschränkt ist.
  • Unter Bezugnahme auf 17, in Schritt 1230, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1230 zum Beispiel ein Bemustern des magnetischen Materials umfassen. In einer Ausführungsform kann das Bemustern des magnetischen Materials zum Beispiel ein Verwenden einer Oxidhartmaske 1307 und eines Fotolithografieprozesses zum Ausbilden von Resist-Bildern 1308 umfassen.
  • Unter Bezugnahme auf 18, in Schritt 1235, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1235 zum Beispiel ein weiteres Bemustern des magnetischen Materials 1306 umfassen. In einer Ausführungsform kann das Bemustern des magnetischen Materials zum Beispiel ein weiteres Verwenden einer Oxidhartmaske 1307 und eines Fotolithografieprozesses umfassen.
  • Unter Bezugnahme auf 19, in Schritt 1240, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1240 zum Beispiel ein Aufbringen eines Dielektrikums 1308 und ein Planarisieren umfassen.
  • Unter Bezugnahme auf 20, in Schritt 1245, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1245 zum Beispiel ein Ausbilden einer Kontaktebene umfassen, die Kontakte 1309 enthält.
  • Unter Bezugnahme auf 21, in Schritt 1250, ein Fortsetzen der Verarbeitung der Sequenz zum Hinzufügen eines magnetischen Induktors. In einer Ausführungsform kann Schritt 1250 zum Beispiel ein Ausbilden einer oberen Siebebene 1310 umfassen. In einer Ausführungsform wird die obere Siebebene 1310 durch ein Aufbringen eines oberen Dielektrikums 1310A und ein Ausführen einer herkömmlichen Lithografie und ein Ätzen ausgeführt. Die sich daraus ergebenden dielektrischen Gräben werden mit Einlage, Keimen und Plattiermetall gefüllt (die insgesamt durch das Figuren-Bezugszeichen 1310B) angegeben werden, und anschließend wird das Metall auf die Oberfläche des Dielektrikums 1310A planarisiert.
  • Es sollte klar sein, dass Aspekte der vorliegenden Erfindung in Bezug auf eine vorgegebene veranschaulichende Architektur beschrieben werden, dass jedoch andere Architekturen, Strukturen, Substratmaterialien und Prozessmerkmale und -schritte innerhalb des Schutzumfangs der Aspekte der vorliegenden Erfindung unterschiedlich ausfallen können.
  • Es sollte ebenfalls klar sein, dass in dem Fall, in dem ein Element wie beispielsweise eine Schicht, ein Bereich oder ein Substrat als „auf“ oder „über“ einem anderen Element befindlich bezeichnet wird, es sich direkt auf dem anderen Element befinden kann oder auch dazwischenliegende Elemente vorhanden sein können. Wenn im Gegensatz dazu ein Element als „direkt auf“ oder „direkt über“ einem anderen Element befindlich bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden. Es sollte ebenfalls klar sein, dass in dem Fall, in dem ein Element als mit einem anderen Element „verbunden“ oder „gekoppelt“ bezeichnet wird, es direkt mit dem anderen Element verbunden oder gekoppelt sein kann, oder dass dazwischenliegende Elemente vorhanden sein können. Wenn im Gegensatz dazu ein Element als mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden.
  • Die vorliegenden Ausführungsformen können ein Konzept für einen integrierten Schaltungs-Chip enthalten, das in einer grafischen Computerprogrammiersprache erstellt und in einem Computerspeichermedium gespeichert werden kann (wie beispielsweise ein Platten-, Band-, physisches Festplattenlaufwerk oder eine virtuelle Festplatte wie beispielsweise in einem Speicherzugriffsnetzwerk). Wenn der Entwickler Chips oder die Fotolithografiemasken nicht herstellt, die zur Chip-Herstellung verwendet werden, kann der Entwickler das sich ergebende Konzept mithilfe physischer Mittel (z.B. durch Bereitstellen einer Kopie des Speichermediums, auf dem das Konzept gespeichert ist) oder elektronisch (z.B. über das Internet) an derartige Entitäten direkt oder indirekt übertragen. Das gespeicherte Konzept wird anschließend in das entsprechende Format (z.B. GDSII) für die Herstellung von Fotolithografiemasken umgewandelt, die typischerweise mehrere Kopien des betreffenden Chip-Konzepts enthalten, die auf einem Wafer ausgebildet werden. Die Fotolithografiemasken werden verwendet, um Bereiche des Wafers (und/oder der darauf befindlichen Schichten) zu definieren, die geätzt oder anderweitig verarbeitet werden müssen.
  • Verfahren, wie hierin beschrieben, können zur Herstellung von integrierten Schaltungs-Chips verwendet werden. Die sich daraus ergebenden integrierten Schaltungs-Chips können vom Hersteller in Form von Rohwafern (das heißt als einzelner Wafer mit mehreren ungepackten Chips), als blanker Chip oder in einer gepackten Form vertrieben werden. In letzterem Fall wird der Chip in einem Einzelchip-Paket (wie beispielsweise einem Kunststoffträger mit Leitungen, die an einer Steuerplatine oder einem anderen Träger einer höheren Ebene befestigt werden) oder in einem Multi-Chip-Paket bereitgestellt (wie beispielsweise einem Keramikträger, der entweder Oberflächenverbindungen oder versenkte Verbindungen oder beides aufweist). In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungseinheiten als Teil von (a) einem Zwischenprodukt, wie beispielsweise einer Steuerplatine, oder (b) eines Endprodukts integriert. Das Endprodukt kann jedes Produkt sein, das integrierte Schaltungs-Chips enthält, von Spielzeug und anderen Anwendungsmöglichkeiten von einfacher Qualität bis hin zu hochwertigen Computerprodukten mit einer Anzeige, einer Tastatur oder einer anderen Eingabeeinheit und einem Zentralprozessor.
  • Es sollte ebenfalls klar sein, dass Materialverbindungen in Bezug auf aufgelistete Elemente beschrieben werden, z.B. SiGe. Diese Verbindungen enthalten unterschiedliche Anteile der Elemente in der Verbindung, z.B. enthält SiGe SixGe1-x, wobei x kleiner oder gleich 1 ist usw. Außerdem können andere Elemente in der Verbindung enthalten sein und trotzdem gemäß den vorliegenden Grundgedanken funktionieren. Auf die Verbindungen mit zusätzlichen Elementen wird hierin als Legierungen verwiesen.
  • Eine Bezugnahme auf „eine Ausführungsform“ oder „irgendeine Ausführungsform“ sowie andere Variationen davon bedeutet, dass bestimmte Funktionen, Strukturen, Merkmale usw., die in Verbindung mit der Ausführung beschrieben werden, in zumindest einer Ausführungsform enthalten sind. Somit wird durch Auftreten des Ausdrucks „in einer Ausführungsform“ oder „in irgendeiner Ausführungsform“ sowie irgendwelchen anderen Variationen, die an unterschiedlichen Positionen in der gesamten Patentschrift auftreten, nicht notwendigerweise immer auf dieselbe Ausführungsform verwiesen.
  • Es sollte klar sein, dass die Verwendung von irgendeinem der folgenden „/“, „und/oder“ und „zumindest eines von“, zum Beispiel in den Fällen von „A/B“, „A und/oder B“ und „zumindest eines von A und B“ nur die Auswahl der zuerst aufgelisteten Option (A), oder nur die Auswahl der zweiten aufgelisteten Option (B) oder die Auswahl von beiden Optionen (A und B) umfassen soll. Als weiteres Beispiel soll in den Fällen von „A, B und/oder C“ und „zumindest eines von A, B und C“ eine derartige Ausdrucksweise die Auswahl nur der zuerst aufgelisteten Option (A), oder die Auswahl nur der zweiten aufgelisteten Option (B), oder die Auswahl nur der dritten aufgelisteten Option (C), oder die Auswahl der ersten und zweiten aufgelisteten Optionen (A und B), oder die Auswahl nur der ersten und dritten aufgelisteten Optionen (A und C), oder die Auswahl nur der zweiten und dritten aufgelisteten Optionen (B und C), oder die Auswahl aller drei Optionen (A und B und C) umfassen. Dies kann auf beliebig viele aufgelistete Elemente erweitert werden, wie für den Fachmann auf diesem und zugehörigen Fachgebieten problemlos offenkundig ist.
  • Die hierin verwendete Terminologie dient nur zum Zweck der Beschreibung von besonderen Ausführungsformen und soll keinesfalls auf beispielhafte Ausführungsformen einschränken. Die hierin verwendeten Singularformen „ein“, „eine“ und „der/die/das“ sollen auch die Pluralformen mit einschließen, es sei denn, der Kontext gibt eindeutig anderes vor. Es versteht sich ferner, dass die Begriffe „weist auf“, „aufweisend“, „enthält“ und/oder „enthaltend“ bei Verwendung hierin das Vorhandensein ausgewiesener Merkmale, Ganzzahlen, Schritte, Operationen, Elemente und/oder Komponenten angeben, das Vorhandensein oder die Hinzufügung von einem oder mehreren anderen Merkmalen, Ganzzahlen, Schritten, Operationen, Elementen, Komponenten und/oder Gruppen davon aber nicht ausschließen.
  • Raumbezogene Begriffe wie „darunter“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen können hierin für eine einfachere Beschreibung verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren Elementen oder Merkmalen zu beschreiben, wie in den Figuren veranschaulicht Es sollte klar sein, dass die raumbezogenen Begriffe zusätzlich zu den in den Figuren dargestellten Ausrichtung unterschiedliche Ausrichtungen der in Verwendung oder in Betrieb befindlichen Einheit umfassen sollen. Wenn die Einheit in den Figuren zum Beispiel umgedreht wird, würden mit „unter“ oder „darunter“ beschriebene Elemente dann „über“ den anderen Elementen oder Merkmalen ausgerichtet sein. Somit kann der Begriff „unter“ sowohl eine Ausrichtung nach oben und unten umfassen. Die Einheit kann auf andere Weise ausgerichtet werden (um 90 Grad gedreht oder in anderen Ausrichtungen), und die hierin verwendeten raumbezogenen Bezeichnungen können entsprechend interpretiert werden. Außerdem sollte auch klar sein, dass es sich bei einer Schicht, wenn auf sie als „zwischen“ zwei Schichten verwiesen wird, nur um die einzige Schicht zwischen den beiden Schichten handeln kann, oder auch eine oder mehrere dazwischen liegende Schichten vorhanden sein können.
  • Es sollte klar sein, obwohl die Begriffe erste/r/s, zweite/r/s usw. hierin verwendet werden können, um unterschiedliche Elemente zu beschreiben, diese Elemente durch diese Begriffe nicht eingeschränkt werden sollen. Diese Begriffe werden nur verwendet, um ein Element von einem anderen Element zu unterscheiden. Somit könnte ein erstes Element, das im Folgenden erörtert wird, als ein zweites Element bezeichnet werden, ohne von dem Schutzumfang des vorliegenden Konzepts abzuweichen.
  • Nach der Beschreibung von bevorzugten Ausführungsformen eines Systems und Verfahrens (die nur veranschaulichend, aber keinesfalls einschränkend sein sollen), ist anzumerken, dass Modifizierungen und Variationen durch entsprechendes Fachpersonal unter Einhaltung der oben genannten Lehren vorgenommen werden können. Es ist daher klar, dass an bestimmten offenbarten Ausführungsformen vorgenommene Änderungen innerhalb des Schutzumfangs der Erfindung liegen, wie durch die Ansprüche im Anhang dargelegt. Die mit den insbesondere durch die Patentgesetze geforderten Details und Besonderheiten dessen, was durch die Patentschrift beansprucht und gewünscht wird, beschriebenen Aspekte der Erfindung, werden in den Ansprüchen im Anhang dargelegt.

Claims (18)

  1. Schaltung zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen, aufweisend: einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die mit einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert; und einen magnetischen Induktor mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  2. Schaltung zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen, aufweisend: einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die parallel geschaltet sind, wobei jeder einen Anschluss hat, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, und einen weiteren Anschluss, der mit einer statischen Stromversorgung verbunden ist, die eine im Wesentlichen konstante Stromversorgungsspannung liefert; und einen magnetischen Induktor mit einem ersten Anschluss, der mit der statischen Stromversorgung verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  3. Schaltung zum Bereitstellen einer Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen, aufweisend: einen ersten Transistor und einen zweiten Transistor von unterschiedlichen Kanaltypen, die parallel geschaltet sind, wobei jeder einen Nicht-Gate-Anschluss hat, der mit einer statischen Stromversorgung verbunden ist, die eine konstante Stromversorgungsspannung liefert, und einen weiteren Nicht-Gate-Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, wobei ein Gate des ersten Transistors und zwei Gates des zweiten Transistors mit einem Boost-Taktgeber verbunden sind; und einen magnetischen Induktor mit einem ersten Anschluss, der mit dem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist, die auf eine Phase des Boost-Blocks anspricht.
  4. Schaltung nach Anspruch 1 oder Anspruch 3, ferner aufweisend einen Kondensator, der von der mindestens einen Kapazität verschieden ist, der parallel zu dem magnetischen Induktor geschaltet ist, sodass ein erster Anschluss des Kondensators mit dem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und ein zweiter Anschluss des Kondensators mit dem dynamischen internen Stromversorgungsknoten verbunden ist.
  5. Schaltung nach einem der Ansprüche 1 bis 3, wobei der erste Transistor in Reaktion auf eine erste Phase eines Verstärkungstaktgebers nicht durchgesetzt wird, der mit einem funktionalen Taktgeber der Gruppe von weiteren Schaltungen synchronisiert ist, der eine Auswertung für dynamische Logikschaltungen und Zustandsänderungen für statische Logikschaltungen in der Gruppe von weiteren Schaltungen steuert.
  6. Schaltung nach Anspruch 5, wobei der magnetische Induktor in Resonanz mit der mindestens einen Kapazität befindlich ist, um für den dynamischen internen Stromversorgungsknoten die erhöhte Spannung zu liefern in Reaktion darauf, dass der erste Transistor während einer zweiten Phase des Boost-Taktgebers nicht durchgesetzt wird.
  7. Schaltung nach Anspruch 6, wobei die zweite Phase des Boost-Taktgebers zu einem Zeitpunkt bereitgestellt wird, der der Auswertungszeit für die dynamischen Logikschaltungen und den Zustandsänderungen für die statischen Logikschaltungen entspricht, um die Boost-Spannung während der Auswertungszeit und der Zustandsänderungen bereitzustellen.
  8. Schaltung nach einem der Ansprüche 1 bis 3, wobei der zweite Transistor zwei Gate-Anschlüsse hat, die mit einem Boost-Taktgebergekoppelt sind, einen Source-Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, und einen Drain-Anschluss, der mit der statischen Stromversorgung verbunden ist.
  9. Schaltung nach Anspruch 8, wobei der erste Transistor einen Gate-Anschluss hat, der mit den beiden Gate-Anschlüssen des zweiten Transistors gekoppelt ist, einen Source-Anschluss, der mit dem Source-Anschluss des zweiten Transistors verbunden ist, und einen Drain-Anschluss, der mit dem Drain-Anschluss des zweiten Transistors verbunden ist.
  10. Schaltung nach Anspruch 1, wobei der zweite Transistor zwei Gate-Anschlüsse hat, die mit einem Gate-Anschluss des ersten Transistors verbunden sind, wobei der zweite Transistor durch eine erste Phase eines Boost-Taktgebers durchgesetzt wird und einen Source-Anschluss hat, der mit einem Source-Anschluss des ersten Transistors verbunden ist, wobei der erste Transistor und der zweite Transistor den magnetischen Induktor und die mindestens eine Kapazität mit der statischen Stromversorgung koppeln.
  11. Schaltung nach Anspruch 2, wobei der zweite Transistor zwei Gate-Anschlüsse hat, die mit einem Gate-Anschluss des ersten Transistors verbunden sind, wobei der zweite Transistor durch eine erste Phase eines Boost-Taktgebers durchgesetzt wird und einen Source-Anschluss hat, der mit einem Source-Anschluss des ersten Transistors verbunden ist.
  12. Schaltung nach einem der Ansprüche 1 bis 3, wobei die Gruppe von weiteren Schaltungen zwischen dem dynamischen internen Stromversorgungsknoten und einem Rückkehrknoten verbunden ist, und wobei die mindestens eine Kapazität eine Parallelkapazität enthält, die sich aus der Gruppe von weiteren Schaltungen ergibt.
  13. Schaltung nach einem der Ansprüche 1 bis 3, wobei die weiteren Schaltungen aus der Gruppe ausgewählt werden, die aus Logikschaltungen, Speicherschaltungen und Schaltungen besteht, die sowohl logische als auch Speicherelemente haben.
  14. Verfahren zum Ausbilden einer integrierten Schaltung, die eine Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen bereitstellt, aufweisend: ein Ausbilden eines ersten Transistors und eines zweiten Transistors von unterschiedlichen Kanaltypen, die zu einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert; und ein Ausbilden eines chipintegrierten magnetischen Induktors mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  15. Verfahren zum Ausbilden einer integrierten Schaltung, die eine Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten einer Gruppe von weiteren Schaltungen bereitstellt, aufweisend: ein Ausbilden eines ersten Transistors und eines zweiten Transistors von unterschiedlichen Kanaltypen, die parallel geschaltet sind, wobei jeder einen Anschluss hat, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, und einen weiteren Anschluss, der mit einer statischen Stromversorgung verbunden ist, die eine im Wesentlichen konstante Stromversorgungsspannung liefert; und ein Ausbilden eines chipintegrierten magnetischen Induktors mit einem ersten Anschluss, der mit der statischen Stromversorgung verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für den dynamischen internen Stromversorgungsknoten eine erhöhte Spannung mit einer Stärke zu liefern, die größer als eine Stärke der konstanten Stromversorgungsspannung ist, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  16. Verfahren nach Anspruch 15, ferner aufweisend einen Kondensator, der von der mindestens einen Kapazität verschieden ist, der parallel zu dem magnetischen Induktor geschaltet ist, sodass ein erster Anschluss des Kondensators mit dem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und ein zweiter Anschluss des Kondensators mit dem dynamischen internen Stromversorgungsknoten verbunden ist.
  17. Schaltung zum Bereitstellen einer Versorgungsspannung, aufweisend: einen ersten Transistor und einen zweiten Transistor, die mit einer statischen Stromversorgung gekoppelt sind, die eine konstante Stromversorgungsspannung liefert; und einen magnetischen Induktor mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für einen dynamischen internen Stromversorgungsknoten eine relativ zu der konstanten Stromversorgungsspannung erhöhte Spannung zu liefern, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
  18. Verfahren zum Ausbilden einer integrierten Schaltung, die eine Spannungsversorgung bereitstellt, aufweisend: ein Ausbilden eines ersten Transistors und eines zweiten Transistors, die zu einer statischen Stromversorgung parallel geschaltet sind, die eine konstante Stromversorgungsspannung liefert; und ein Ausbilden eines chipintegrierten magnetischen Induktors mit einem ersten Anschluss, der mit einem gemeinsamen Knoten zwischen dem ersten Transistor und dem zweiten Transistor verbunden ist, und einem zweiten Anschluss, der mit dem dynamischen internen Stromversorgungsknoten verbunden ist, um für einen dynamischen internen Stromversorgungsknoten eine relativ zu der konstanten Stromversorgungsspannung erhöhte Spannung zu liefern, indem sie mit mindestens einer Kapazität in Resonanz befindlich ist, die mit dem dynamischen internen Stromversorgungsknoten gekoppelt ist.
DE112018001288.3T 2017-05-17 2018-05-14 Bereitstellen von Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten Pending DE112018001288T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/597,978 US10003337B1 (en) 2017-05-17 2017-05-17 Resonant virtual supply booster for synchronous logic circuits and other circuits with use of on-chip integrated magnetic inductor
US15/597,978 2017-05-17
US15/962,510 2018-04-25
US15/962,510 US10389356B2 (en) 2017-05-17 2018-04-25 Resonant virtual supply booster for synchronous logic circuits and other circuits with use of on-chip integrated magnetic inductor
PCT/IB2018/053334 WO2018211399A1 (en) 2017-05-17 2018-05-14 Providing supply voltage to a dynamic internal power supply node

Publications (1)

Publication Number Publication Date
DE112018001288T5 true DE112018001288T5 (de) 2020-01-02

Family

ID=62554714

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112018001288.3T Pending DE112018001288T5 (de) 2017-05-17 2018-05-14 Bereitstellen von Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten

Country Status (6)

Country Link
US (4) US10003337B1 (de)
JP (1) JP7083558B2 (de)
CN (1) CN110622406B (de)
DE (1) DE112018001288T5 (de)
GB (1) GB2577196A (de)
WO (1) WO2018211399A1 (de)

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8089323B2 (en) 2006-08-05 2012-01-03 Min Ming Tarng Green technology: green circuit and device designs of green chip
US5616945A (en) * 1995-10-13 1997-04-01 Siliconix Incorporated Multiple gated MOSFET for use in DC-DC converter
EP1094465A1 (de) * 1999-10-20 2001-04-25 Infineon Technologies AG Speichereinrichtung
JP4200631B2 (ja) 2000-03-29 2008-12-24 沖電気工業株式会社 オンチップ・コイルとその製造方法
AU2001296724A1 (en) * 2000-10-10 2002-04-22 Primarion, Inc. Microelectronic magnetic structure, device including the structure, and methods of forming the structure and device
JP3927565B2 (ja) 2004-06-25 2007-06-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 磁気コアを有するオンチップ・インダクタ
JP4877459B2 (ja) 2005-06-01 2012-02-15 ミツミ電機株式会社 電流共振型マルチフェーズdc/dcコンバータおよびその制御方法
JP4997122B2 (ja) * 2008-01-15 2012-08-08 株式会社リコー 電源供給回路及びその動作制御方法
JP2011049424A (ja) 2009-08-28 2011-03-10 Sony Corp 半導体デバイス
US8315123B2 (en) * 2010-12-20 2012-11-20 Arm Limited Wordline voltage control within a memory
CN102158096B (zh) * 2011-05-11 2013-11-20 南京博兰得电子科技有限公司 一种非隔离式谐振变换器
JP5888754B2 (ja) 2011-05-18 2016-03-22 国立大学法人 東京大学 集積回路装置
US9037856B2 (en) 2012-07-18 2015-05-19 Nexenta Systems, Inc. System and method for distributed deduplication of encrypted chunks
US8742817B2 (en) * 2012-08-31 2014-06-03 Advanced Micro Devices, Inc. Controlling impedance of a switch using high impedance voltage sources to provide more efficient clocking
US8960406B2 (en) 2012-10-01 2015-02-24 Jcm American Corporation Bezel assembly comprising image recognition for use with an automated transaction device
TWI458242B (zh) * 2012-11-16 2014-10-21 Ind Tech Res Inst 直流轉換電路
WO2014142842A1 (en) 2013-03-13 2014-09-18 Schneider Electric USA, Inc. Supply regulation circuit with energy efficient digital control
US9405357B2 (en) 2013-04-01 2016-08-02 Advanced Micro Devices, Inc. Distribution of power gating controls for hierarchical power domains
CN103199593B (zh) 2013-04-26 2015-02-04 无锡中星微电子有限公司 一种充电管理电路和系统
US9135987B2 (en) * 2013-07-01 2015-09-15 Internatinal Business Machines Corporation FinFET-based boosting supply voltage circuit and method
CN103633840B (zh) * 2013-11-29 2016-06-22 华南理工大学 一种单开关高增益升压dc/dc变换器
US9563263B2 (en) 2013-12-19 2017-02-07 Intel Corporation Graphics processor sub-domain voltage regulation
JP6469999B2 (ja) 2014-09-11 2019-02-13 ローム株式会社 ブートストラップ回路
US10263519B2 (en) 2015-07-23 2019-04-16 International Business Machines Corporation Resonant virtual supply booster for synchronous digital circuits having a predictable evaluate time

Also Published As

Publication number Publication date
CN110622406A (zh) 2019-12-27
GB201916879D0 (en) 2020-01-01
US20180337678A1 (en) 2018-11-22
GB2577196A (en) 2020-03-18
US20180337677A1 (en) 2018-11-22
US10270443B2 (en) 2019-04-23
CN110622406B (zh) 2021-11-12
JP7083558B2 (ja) 2022-06-13
US20180337679A1 (en) 2018-11-22
US10003337B1 (en) 2018-06-19
US10256819B2 (en) 2019-04-09
WO2018211399A1 (en) 2018-11-22
JP2020520218A (ja) 2020-07-02
US10389356B2 (en) 2019-08-20

Similar Documents

Publication Publication Date Title
DE102012220822B4 (de) Verfahren zur herstellung eines finfet und finfetstruktur mit verbesserter gate-planarität
DE102017110226A1 (de) Füller für integrierte Schaltungen und Verfahren davon
DE112011103750B4 (de) Nichtflüchtiger Magnettunnelübergang-Transistor
DE60103608T2 (de) Verfahren und Vorrichtung zur Analyse der Wellenform eines Quellenstroms in einer integrierten Halbleiterschaltung
DE102012202747B4 (de) Doppeltrigger-Niedrigenergie-Flip-Flop-Schaltung
DE102004055006B4 (de) Flipflop mit zusätzlicher Zustandsspeicherung bei Abschaltung
DE102013106539B4 (de) Verfahren zur Verifikation eines Layouts für Polysilizium-Zellrandstrukturen in FinFET-Standardzellen
DE112014000616T5 (de) Doppelstruktur-Taktbaumsynthese (CTS)
DE112011102414B4 (de) Verfahren zur Herstellung isolierter Kondensatoren und Struktur daraus
DE112010004612T5 (de) Silicium-auf-Isolator(SOI)-Struktur mit verringerten Oberschwingungen, Entwurfsstruktur und Verfahren zu deren Herstellung
DE112021002870T5 (de) Halbleiterschaltungs-entwurf und einheits-pin-anordnung
DE102008039560A1 (de) Verfahren zur Herstellung einer integrierten Schaltung mit Spannungsverstärkung
DE69533567T2 (de) Vorrichtung und Verfahren zum Auffinden von False-Timing-Paths in digitalen Schaltkreisen
DE112018001833B4 (de) Resonanz-taktschaltkreis mit magnetischer abschirmung
DE10255636B4 (de) Schaltkreis-Anordnung
Kundu et al. Test challenges in nanometer technologies
DE112018001288T5 (de) Bereitstellen von Versorgungsspannung für einen dynamischen internen Stromversorgungsknoten
DE102008007004A1 (de) Integrierte Schaltung mit einem Speicher mit mehreren Speicherzellen mit synchronem Aufbau, die mit Taktausblendeeinheiten verbunden sind
DE112012000233B4 (de) Gesteuerte Silicium-Gleichrichter, Herstellungsverfahren und Entwicklungsstrukturen
DE102013106383B4 (de) Integrierte Halbleiterschaltung, Verfahren zum Entwerfen derselben und Verfahren zum Herstellen derselben
DE102009039909A1 (de) Verfahren zum Erzeugen eines Layoutmusters einer Halbleitervorrichtung und Gerät zum Erzeugen eines Layoutmusters
DE102023101325A1 (de) Systeme, vorrichtungen und verfahren zur leistungsverwaltung und leistungsschätzung
DE112020004595T5 (de) Bidirektionale einzelversorgungs-pegelumsetzerschaltung
DE102022109400A1 (de) Halbleiterstruktur eines zellenarray mit adaptiver schwellenspannung
DE112013005831T5 (de) Netzlistenabstraktion

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication