JP2020520218A - 動的内部電源ノードへの供給電圧の提供 - Google Patents

動的内部電源ノードへの供給電圧の提供 Download PDF

Info

Publication number
JP2020520218A
JP2020520218A JP2019561924A JP2019561924A JP2020520218A JP 2020520218 A JP2020520218 A JP 2020520218A JP 2019561924 A JP2019561924 A JP 2019561924A JP 2019561924 A JP2019561924 A JP 2019561924A JP 2020520218 A JP2020520218 A JP 2020520218A
Authority
JP
Japan
Prior art keywords
power supply
transistor
node
internal power
dynamic internal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019561924A
Other languages
English (en)
Other versions
JP7083558B2 (ja
Inventor
ジョーシー、ラジブ
ワン、ナイガン
ドリス、ブルース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020520218A publication Critical patent/JP2020520218A/ja
Application granted granted Critical
Publication of JP7083558B2 publication Critical patent/JP7083558B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/10Inductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0013Arrangements for reducing power consumption in field effect transistor circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/017509Interface arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Dram (AREA)
  • Logic Circuits (AREA)
  • Dc-Dc Converters (AREA)

Abstract

【課題】他の一群の回路の動的内部電源ノードに供給電圧を提供するための回路および方法を開示する。【解決手段】回路は、一定の電源電圧を供給する静的電源に並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタを含む。この回路はさらに、第1のトランジスタと第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、磁性インダクタを含む。【選択図】図4

Description

本発明は一般に集積回路に関し、詳細には、同期論理回路および他の回路用の共振仮想電源ブースタ(resonant virtual supply booster)であって、オンチップ統合磁性インダクタ(on-chip integrated magnetic inductor)を使用する共振仮想電源ブースタに関する。
静的および動的論理回路は、メモリおよび論理デバイス内で使用されて、論理演算を実行し記憶機能を提供するための最小限のダイ面積で高周波動作を提供する。同期静的論理回路と同期動的論理回路はともに、論理ブロックの出力値が状態を評価しまたは変化させる時間の前およびそのような時間中の回路の動作が入力論理または記憶セル値から決定される制御された評価時間(evaluation time)を有する。
時に「マクロ」と呼ばれる論理回路の群は、記憶セル値の読出しもしくは書込みまたは論理組合せの決定を提供するために電源電流が引き込まれるある期間を除いて電力消費を低減させるように、存在する回路内で電力管理されている。例えば、動的論理回路は、組合せ出力または記憶セル値を生成するために信号ノードが1つの電圧で事前充電され、次いで選択的に放電されるときを除いて、電流を引き込まないこと、または非常に低い漏れ電流レベルを有することがある。静的論理回路または記憶セルがかなりの電流を引き込むのは、状態変化が起こるときだけである。
論理回路が評価を実行していないときにはディスエーブルすることができ、もしくは低い電圧に設定することができる仮想電源ノード、または多数の電源を使用してクリティカルな(critical)回路により高い電圧を供給することができる仮想電源ノードを含む論理回路は実現されている。いくつかの実施態様では、静的電源電圧を低減させるために、ブースト・トランジスタを含めることによって、評価段階中に論理回路に供給される電源電圧をブーストする回路が提供されている。このようなブースティングは、全体の電源電圧要件を低下させる。しかしながら、仮想電源ノード電圧の電圧を変化させる際に消費されるエネルギーは、得られる利点を相殺する。これは、仮想電源ノードには多数のデバイスが接続されているため、仮想電源ノードが通常、大きな分路静電容量(shunt capacitance)を有するためである。
したがって、同期論理および予測可能な評価時間を有する他の論理のための仮想電源回路であって、全体の電源電圧およびエネルギー消費の低減を提供する仮想電源回路を提供することが望ましいであろう。
本発明の一態様によれば、回路が提供される。この回路は、他の一群の回路の動的内部電源ノード(dynamic internal power supply node)に供給電圧を提供するためのものである。この回路は、一定の電源電圧を供給する静的電源に並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタを含む。この回路はさらに、第1のトランジスタと第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブーストされた電圧(以後、ブースト電圧)を供給する、磁性インダクタを含む。
本発明の別の態様によれば、回路が提供される。この回路は、他の一群の回路の動的内部電源ノードに供給電圧を提供するためのものである。この回路は、並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、動的内部電源ノードに接続された端子および実質的に一定の電源電圧を供給する静的電源に接続された別の端子をそれぞれが有する、第1のトランジスタおよび第2のトランジスタを含む。この回路はさらに、静的電源に接続された第1の端子および動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、磁性インダクタを含む。
本発明の別の態様によれば、回路が提供される。この回路は、他の一群の回路の動的内部電源ノードに供給電圧を提供するためのものである。この回路は、並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、一定の電源電圧を供給する静的電源に接続された非ゲート端子および第1のトランジスタと第2のトランジスタの間の共通ノードに接続された別の非ゲート端子をそれぞれが有する、第1のトランジスタおよび第2のトランジスタを含む。第1のトランジスタのゲートおよび第2のトランジスタの2つのゲートがブースト・クロックに接続されている。この回路はさらに、第1のトランジスタと第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、ブースト・クロックの位相に応答して、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、磁性インダクタを含む。
本発明の別の態様によれば、方法が提供される。この方法は、他の一群の回路の動的内部電源ノードに供給電圧を提供する集積回路を形成するためのものである。この方法は、一定の電源電圧を供給する静的電源に並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタを形成することを含む。この方法はさらに、第1のトランジスタと第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有するオンチップ磁性インダクタであり、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、オンチップ磁性インダクタを形成することを含む。
本発明の別の態様によれば、方法が提供される。この方法は、他の一群の回路の動的内部電源ノードに供給電圧を提供する集積回路を形成するためのものである。この方法は、並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、動的内部電源ノードに接続された端子および実質的に一定の電源電圧を供給する静的電源に接続された別の端子をそれぞれが有する、第1のトランジスタおよび第2のトランジスタを形成することを含む。この方法はさらに、静的電源に接続された第1の端子および動的内部電源ノードに接続された第2の端子を有するオンチップ磁性インダクタであり、動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、オンチップ磁性インダクタを形成することを含む。
これらの特徴および利点ならびにその他の特徴および利点は、本発明の例示的な実施形態の以下の詳細な説明から明らかになる。以下の詳細な説明は、添付図面とともに読まれるべきである。
以下の説明は、好ましい実施形態の詳細を、以下の図を参照して提供する。
例示的な集積回路(IC)を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路の第1の例を示す、本発明の一実施形態に基づく図である。 異なる表現を使用して描かれた、図2に示された仮想電源/ブースト回路の第1の例を示す、本発明の一実施形態に基づく図である。 異なる表現を使用して描かれた、図2に示された仮想電源/ブースト回路の第1の例を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路の第2の例を示す、本発明の一実施形態に基づく図である。 異なる表現を使用して描かれた、図5に示された仮想電源/ブースト回路の第2の例を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路の第3の例を示す、本発明の一実施形態に基づく図である。 異なる表現を使用して描かれた、図7に示された仮想電源/ブースト回路の第3の例を示す、本発明の一実施形態に基づく図である。 同期論理回路および他の回路用の共振仮想電源ブースタであって、オンチップ統合磁性インダクタを使用する共振仮想電源ブースタを形成するための例示的な設計フローの、本発明の一実施形態に基づくブロック図である。 例えば半導体ICの論理設計、シミュレーション、試験、レイアウトおよび製造において使用される例示的な設計フローの、本発明の一実施形態に基づくブロック図である。 図3の仮想電源/ブースト回路内の信号を示す、本発明の一実施形態に基づく波形図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。 仮想電源/ブースト回路を製造するための例示的な方法を示す、本発明の一実施形態に基づく図である。
本発明は、同期論理回路および他の回路用の共振仮想電源ブースタであって、オンチップ統合磁性インダクタを使用する共振仮想電源ブースタを対象としている。この他の回路は、メモリ回路または論理とメモリを組み合わせた回路とすることができる。
一実施形態では、共振仮想電源ブースタが、電力を供給する動的内部電源ノード、例えば前述の同期論理回路および他の回路に電力を供給する動的内部電源ノードに接続される。一実施形態では、共振仮想電源ブースタが、待機モードの間、活動モードの間よりも低い電力を動的内部電源ノードに提供することができる。
共振仮想電源ブースタ内で磁性インダクタを使用することの利点は、磁性インダクタが、従来のインダクタに比べてはるかに大きなインダクタンスを可能にすることにある。さらに、磁性インダクタによって、かなり高いQを所望の周波数において達成することができる。例えば、マクロの上方に強磁性または磁性インダクタを直接に配置することによって、QおよびL損失ならびに全体面積を低減させることができる。
本発明は、メモリ・デバイス、プロセッサならびに低電圧および低動力動作が望ましい他の回路などの論理回路を含むデバイスに関する。典型的な静的電源の代わりに、仮想電源レール(virtual power supply rail)を使用して、電源レール電圧、したがって所与の「マクロ」内または回路ブロック内の回路が活動中でないときの漏れに起因する電力消費を低減させる。本明細書に開示された例示的なデバイスでは、仮想電源レール電圧を動的にブーストするための1つまたは複数の技法が含まれるときに、静的電源電圧をさらに低減させることができ、この技法は、磁性インダクタを使用して共振回路を形成すること、または多数の共振もしくは非共振ブースト回路を配列して使用可能な電圧ブーストの量を増大させること、あるいはその両方にまで及ぶ。この共振ブースト構成では、仮想電源レール電圧をブーストするために使用されるエネルギーが蓄積され、ブースト期間後に電圧が低下するときにリサイクルされる。この電圧低下は、論理回路内における評価を制御するクロックに関係したタイミングを有する。このクロックは、動的論理回路内における事前充電サイクルおよび評価サイクルを制御するクロック、または静的論理回路内における状態変化のタイミングを調整するクロックとすることができ、この用語が本明細書で使用されるときと同様に、これも、評価とみなされる。
したがって、本発明は、仮想電源レール・ブースタ回路、ならびに低減された電力消費および供給電圧要件を提供する動作方法として実施される。
一実施形態では、ブースタ回路が、実質的に一定の電源電圧を一群の論理回路に供給する静的電源に一群の論理回路の動的内部電源ノードを結合する第1のトランジスタを含む。この第1のトランジスタは、動的論理回路に対する評価および静的論理回路に対する状態変化を制御する一群の論理回路の機能クロックと同期がとられたブースト・クロックの第1の位相に応答してディスエーブルされる。ブースタ回路はさらに、動的内部電源ノードに結合されたインダクタを含み、このインダクタは、一群の論理回路の評価時間に対応するブーストの第2の位相に従って第1のトランジスタがディスエーブルされたときに、インダクタが、動的内部電源ノードに結合された静電容量と共振することにより、動的内部電源ノードの電圧の大きさが、電源電圧の大きさよりも大幅に大きな値まで増大するように、動的内部電源ノードに結合された少なくとも1つの静電容量と共振する。動的内部電源ノードの電圧を上昇させるために使用されるエネルギーは、インダクタによって蓄積され、リサイクルされる。FinFETデバイスとすることができる第2のブースト・トランジスタを、クロックの別の位相によって制御して、クロックの立ち上がりエッジを結合し共振ブーストを開始することができる。クロックの他の位相は、ブースト・クロック信号の遅延信号とすることができる。本発明のさまざまな実施形態は、「第2のブースト・トランジスタ」としてFinFETデバイスを使用することを記述するが、本発明の思想を維持しつつ、他の型のトランジスタを使用することもできることが理解される。例えば、本発明の思想を維持しつつ、マルチゲート・トランジスタ、ゲート−オール−アラウンド(GAA)トランジスタなどを、本発明の教示に従って使用することもできる。実際に、本発明の思想を維持しつつ、カーボン・ナノチューブおよびその他の技術を使用することもできる。本明細書に提供された本発明の教示が与えられれば、本発明のこれらの変形実施形態およびその他の変形実施形態は、当業者によって容易に決定される。
別の実施形態では、動的内部電源ノードにおける電圧上昇量を増大させるために共振ブースト回路が連続的に刺激されるように、ブースタ回路が、クロックの異なる位相によって制御される多数のブースト・トランジスタを含んでよく、いくつかの実施形態では、多数のインダクタが、多数のブースト・デバイスを介して動的内部電源ノードに結合され、電圧上昇量を増大させるために連続して刺激され得る。
次に図、具体的には図1を参照すると、本発明の一実施形態に従って例示的な集積回路(IC)110が示されている。IC100は、プロセッサ集積回路、メモリ・デバイス、または論理および記憶を含む別の超大規模集積回路(VLSI)を表すことができる。IC110内では、論理回路群111(または「マクロ」)が、例示的な論理ゲート112、ラッチ114およびメモリ116を含み、これらの全ての要素には、電圧VDDVを有する動的内部電源ノード105から動作電力が供給される。論理回路群111が動作していないときに、またはこの例の場合には論理回路群111内の回路が状態変化を生み出す準備ができていないときに電力消費を低減させるために、この電圧VDDVを動的に変化させることができる。論理回路群111内の状態変化は、クロック発生器118から提供される1つまたは複数のクロック信号によって同期がとられる。例示的なクロック発生器118は、高周波クロックを生成する位相ロック・ループ(PLL)124、ならびにこの高周波クロックからクロック信号lckを含むさまざまなクロック位相および制御信号を生成するディバイダ論理(divider logic)126を含み、クロック信号lckは、プログラマブル・タイミング・ブロック122の入力に提供される。プログラマブル・タイミング・ブロック122は、論理回路群111に提供されるクロック信号lck0、lck1、lck2、および論理回路群111内の仮想電源/ブースト回路120に提供されるブースト・クロックboostを生成する。
仮想電源/ブースト回路120に含まれる技法は、動的回路群111内の性能要件を依然として満たしつつ、仮想電源/ブースト回路120の入力に供給され集積回路110内の他の回路を動作させる静的電源電圧VDDの値を低減させることを可能にすべく、動的内部電源ノード105上に、静的電源電圧VDDよりもかなり大きな電圧VDDVのピーク・ブースト値を生成する。ブースト電圧VDDVを提供する特定の技法については図2〜8を参照して後に説明する。仮想電源/ブースト回路120は一般に、例示的な論理ゲート112、ラッチ114およびメモリ116に供給される電圧の値が性能に関して最もクリティカルな特定の時刻に出力電圧VDDVのブーストされた部分値を合わせるように、電圧VDDVを発生させる。このことは、仮想電源/ブースト回路120に供給する静的電源電圧VDDの静的値を低下させることを可能にする。出力電圧VDDVのブーストされた部分は一般に、クロック信号lck0、lck1、lck2によって静的または動的評価が開始される前のセットアップ期間(set-up interval)に配置される。lck0、lck1、lck2およびブースト・クロックboostのタイミングが瞬時周波数、電圧ならびに他の環境および回路条件に対して最適化されるように、プログラマブル・タイミング・ブロック122は、バッファ/インバータとセレクタとによって形成されたタップ付き遅延線(tapped delay line)128を含む。しかしながら、図1に示された集積回路110は単なる例であり、その代わりに固定クロック・バッファ・チェーンを使用することもできる。ラッチ、メモリおよび論理をそれらのタイミング・ルールに従ってブーストするため、一実施形態では、ブースト・ブロック120に到来する信号(ブースト)を、異なる程度にバッファリングすることができる。したがって、このブースト・レベルは、適切なタイミング・ルールに従って送達される。
次に図2を参照すると、本発明の一実施形態に従って、仮想電源/ブースト回路200の第1の例が示されている。仮想電源/ブースト回路200は、図1の集積回路110の仮想電源/ブースト回路120を実装する目的に使用することができる。図2の例には、メモリ・セルのアレイ290を有する仮想電源/ブースト回路200が示されており、アレイ290は、電圧Vddvによって給電され、ワード線(Wl_0からWl_n)およびビット線(blc0からblt0)によって制御される。仮想電源/ブースト回路200は、第1のトランジスタP1および第2のブースト・トランジスタN1を含む。ブースト・トランジスタN1はn型FinFETによって実装され、そのゲートは、「IN」信号(本明細書では相互に交換可能に「ブースト」信号とも呼ばれる)によって制御される。n型FinFETは、大きなゲート−ボディ容量結合を有し、このような用途に対して有利である。待機時、「IN」は「Low」であり、したがって仮想アレイ供給電圧「Vddv」は「Vdd」にある。そのドレインおよびソースがともに「Vdd」にあるとき、ブースタnFET N1の完全に空乏化したボディも「Vdd」にある。仮想電源/ブースト回路200はさらに、これらのトランジスタ(P1およびN1)とメモリ・セル(図示せず)の間にインダクタL1を含む。インダクタML1は、磁性材料でできているかもしくは磁性材料を含んでいるか、またはその両方である(本明細書では相互に交換可能に「磁性インダクタ」ML1と呼ばれる)。
読出し操作の間、「IN」信号は「High」に上昇し、したがってトランジスタP1をオフにする。ゲート信号「IN」のこの上昇は、ブースタ・トランジスタN1のフローティング・ボディに容量結合され、したがってボディ電位をVDDよりもかなり高いレベルにする。
そのため、ブースタ・トランジスタN1のソース・ノード(仮想アレイ電源ノードである)は、ボディ−ソース静電容量によって容量結合される。
磁性インダクタMLは、最初にセル電源(cell supply)を落ち込ませ、次いで供給電圧を上昇させることによって、ブーストされた電源(boosted supply)を共振させ、書込み能力を助ける。
図3を参照すると、本発明の一実施形態に従って、図2に示された仮想電源/ブースト回路200の第1の例が、異なる表現300を使用して描かれている。異なる表現300は、図2のN1およびP1を一対のインバータ381(相互に交換可能に「ブースタ」とも呼ばれる)として示しており、さらに磁性インダクタML1を含む。具体的には、このブースタおよび磁性インダクタML1は、図1に描かれたゲート112、ラッチ114およびメモリ116に関して示されている。共振強磁性ブースタ・インダクタである磁性インダクタML1は、N1の出力と仮想電源/ブースト回路200の出力「OUT」(Vddv)の間に接続されている。磁性インダクタML1は、2重電源(dual supply)を排除すべく、ブーストされた電源をブーストし、共振させるのを助ける。
図4を参照すると、本発明の一実施形態に従って、図2に示された仮想電源/ブースト回路200の第1の例が、異なる表現400を使用して描かれている。異なる表現400は、動的内部電源ノード105に接続された全てのデバイス(例えばゲート、ラッチ、メモリ)の分路静電容量CSHUNT、および任意選択で仮想電源/ブースト回路に含めることができる追加の静電容量C1を示している。したがって、以下の説明は、CSHUNTおよびC1に関してなされる。
第1のトランジスタP1は、ブースト・クロックboostがデアサート(de-assert)されているとき、すなわちこの例では低電圧状態にあるときに、出力仮想電源電圧VDDVを静的電源電圧VDDの値にクランプする。第2のブースト・トランジスタN1のボディは当初、入力クロック信号boostがデアサートされているときに静的電源電圧VDDの値を有する。ブースト・クロックboostの立ち上がりエッジは、ブースト・トランジスタN1のゲートを介して磁性インダクタML1の端子に容量結合され、この端子は、ブースト・トランジスタN1がオンになったときに第1トランジスタP1およびブースト・トランジスタN1を動的内部電源ノード105に結合する。ブースト・クロックboostの立ち上がりエッジの前に磁性インダクタML1を流れる電流はゼロであり、ブースト・トランジスタN1のボディは静的電源電圧VDDの値にあるため、ブースト・クロックboostのエッジが磁性インダクタML1を介して動的内部電源ノード105に結合されると、磁性インダクタML1を流れる電流は急速に増大し、それによって動的内部電源ノード電圧VDDVが上昇し、波形は、磁性インダクタML1の直列共振周波数と静電容量CSHUNTおよび静電容量C1との組合せによって制御される。しかしながら、ブースト・トランジスタN1もオンになっており、さらに、分路静電容量CSHUNTは、動的内部電源ノード105に接続されたデバイスの漏れおよび有効電流と並列であるため、全体の静電容量との磁性インダクタML1の共振挙動は減衰され、ブースト・トランジスタN1の導通は、動的内部電源ノード電圧VDDVが静的電源電圧VDDよりも大幅に低下することを防ぐように機能する。一般に、内部電源ノード電圧VDDVはVDD−Vよりも低くなるべきではない。Vはブースト・トランジスタN1のしきい電圧である。
次に図5を参照すると、本発明の一実施形態に従って、仮想電源/ブースト回路500の第2の例が示されている。仮想電源/ブースト回路500は、図1の集積回路110の仮想電源/ブースト回路120を実装する目的に代替として使用することができる。この例は、図3と類似の表現を使用して(すなわち図1に描かれたゲート112、ラッチ114およびメモリ116に関して)描かれている。仮想電源/ブースト回路500は図3の仮想電源/ブースト回路300と類似しており、そのため、以下では、仮想電源/ブースト回路500と仮想電源/ブースト回路300の間の相違だけを説明する。仮想電源/ブースト回路500では、磁性インダクタML1を横切って(磁性インダクタML1と並列に)相互接続コンデンサC2が追加されている。IN0を動的に変化させ、IN0がhighになると、VDDVは供給電圧よりも高い値に結合し、その電圧をよりいっそう押し上げまたはブーストする。したがって、相互接続コンデンサC2はブースト・コンデンサの働きをする。
図6を参照すると、本発明の一実施形態に従って、図5に示された仮想電源/ブースト回路500の第2の例が、異なる表現600を使用して描かれている。異なる表現600は、動的内部電源ノード105に接続された全てのデバイス(例えばゲート、ラッチ、メモリ)の分路静電容量CSHUNT、および任意選択で仮想電源/ブースト回路に含めることができる追加の静電容量C1を示している。図5と同様に、磁性インダクタML1を横切って(磁性インダクタML1と並列に)相互接続コンデンサC2が追加されている。
次に図7を参照すると、本発明の一実施形態に従って、仮想電源/ブースト回路700の第3の例が示されている。仮想電源/ブースト回路700は、図1の集積回路110の仮想電源/ブースト回路120を実装する目的に代替として使用することができる。仮想電源/ブースト回路700は図3の仮想電源/ブースト回路300と類似しており、そのため、以下では、仮想電源/ブースト回路700と仮想電源/ブースト回路300の間の相違だけを説明する。仮想電源/ブースト回路700では、磁性インダクタML1が、電源電圧Vddとブースタ389の出力(すなわち仮想電源/ブースト回路700の出力「OUT」(Vddv))との間に接続されている。したがって、磁性インダクタML1の1つの端子とFinFET N1のソースおよびドレインは電圧Vddに共通して接続され、磁性インダクタML1のもう一方の端子は、電圧Vddv(動的内部電源ノード105)に接続される。
図8を参照すると、本発明の一実施形態に従って、図7に示された仮想電源/ブースト回路700の第3の例が、異なる表現800を使用して描かれている。異なる表現800は、動的内部電源ノード105に接続された全てのデバイス(例えばゲート、ラッチ、メモリ)の分路静電容量CSHUNT、および任意選択で仮想電源/ブースト回路に含めることができる追加の静電容量C1を示している。図6と同様に、磁性インダクタML1は、電源電圧Vddとブースタ389の出力(すなわち仮想電源/ブースト回路700の出力「OUT」(Vddv))との間に接続されている。
図9を参照すると、本発明の一実施形態に従って、同期論理回路および他の回路用の共振仮想電源ブースタであって、オンチップ統合磁性インダクタを使用する共振仮想電源ブースタを形成するための例示的な方法900のブロック図が示されている。
ステップ910で、標準フロント・エンド・オブ・ライン(FEOL)CMOSを製造する。
ステップ920で、標準ミドル・オブ・ライン(MOL)を製造する。
ステップ930で、インダクタへのコンタクトを含むバック・エンド・オブ・ライン(BEOL)を製造する。
ステップ940で、誘電体絶縁層を堆積させる。
ステップ950で、磁性インダクタを製造する。
図10を参照すると、本発明の一実施形態に従って、例えば半導体ICの論理設計、シミュレーション、試験、レイアウトおよび製造において使用される例示的な設計フロー1000が示されている。設計フロー1000は、上で説明し、図1〜8に示した設計構造もしくはデバイスまたはその両方の論理的に等価の表現または他の態様で機能的に等価の表現を生成するために設計構造またはデバイスを処理するためのプロセス、機械もしくは機構、またはこれらの組合せを含む。データ処理システム上で実行されまたは他の態様で処理されたときに、ハードウェア構成要素、回路、デバイスまたはシステムの論理的、構造的もしくは機械的に等価の表現またはその他の態様で機能的に等価の表現を生成するデータもしくは命令またはその両方を含めるために、設計フロー1000によって処理もしくは生成された設計構造、または設計フロー1000によって処理され生成された設計構造を、機械可読の伝送媒体または記憶媒体上にコード化することができる。機械は、限定はされないが、回路、構成要素、デバイスまたはシステムの設計、製造またはシミュレーションなどのIC設計プロセスで使用される任意の機械を含む。例えば、機械は、リソグラフィ機械、マスクを生成するための機械もしくは機器(例えばeビーム・ライタ)またはその両方、設計構造をシミュレートするためのコンピュータもしくは機器、製造もしくは試験プロセスで使用される任意の装置、あるいは設計構造の機能的に等価の表現を任意の媒体にプログラムするための任意の機械(例えばプログラマブル・ゲート・アレイをプログラムするための機械)を含むことができる。
設計フロー1000は、設計されている表現のタイプに応じて変化することができる。例えば、特定用途向け集積回路(ASIC)を構築するための設計フロー1000が、標準構成要素を設計するための設計フロー1000、または設計をプログラマブル・アレイ、例えばAltera Inc.またはXilinx,Inc.によって提供されているプログラマブル・ゲート・アレイ(PGA)もしくはフィールド・プログラマブル・ゲート・アレイ(FPGA)にインスタンス化するための設計フロー1000とは異なることがある。
図10は、設計プロセス1010によって処理されることが好ましい入力設計構造1020を含む、このような多数の設計構造を示す。入力設計構造1020は、ハードウェア・デバイスの論理的に等価の機能表現を生成するために設計プロセス1010によって生成および処理される論理的シミュレーション設計構造とすることができる。それに加えてまたはその代わりに、入力設計構造1020は、設計プロセス1010によって処理されたときにハードウェア・デバイスの物理構造の機能表現を生成するデータもしくはプログラム命令またはその両方を含むこともできる。機能設計特徴を表現しているのか、もしくは構造設計特微を表現しているのか、またはその両方であるのかに関わらず、コア開発者/設計者によって実施されているものなどの電子計算機援用設計(electronic computer-aided design:ECAD)を使用して、入力設計構造1020を生成することができる。機械可読のデータ伝送、ゲート・アレイまたは記憶媒体上にコード化されているとき、入力設計構造1020は、例えば図1〜8に示されたものなどの電子構成要素、回路、電子もしくは論理モジュール、装置、デバイスまたはシステムをシミュレートし、あるいはそれらを他の手法で機能的に表現するために、設計プロセス1010内の1つまたは複数のハードウェア・モジュールもしくはソフトウェア・モジュールまたはその両方によってアクセスおよび処理することができる。そのため、入力設計構造1020は、設計またはシミュレーション・データ処理システムによって処理されたときに、回路または他のレベルのハードウェア論理設計を機能的にシミュレートし、または他の手法で表現する、人間もしくは機械またはその両方が読むことができるソース・コード、コンパイル済みの構造、ならびにコンピュータ実行可能コード構造を含む、ファイルまたは他のデータ構造を含むことができる。このようなデータ構造は、VerilogおよびVHDLなどのより低いレベルのHDL設計言語、またはCもしくはC++などのより高いレベルの設計言語、あるいはその両方に準拠し、もしくはそのような言語と両立し、またはその両方である、ハードウェア記述言語(hardware-description language:HDL)設計エンティティまたは他のデータ構造を含むことができる。
設計プロセス1010は、図1〜8に示された構成要素、回路、デバイスまたは論理構造の設計/シミュレーション機能等価物を合成し、変換し、または他の手法で処理して、入力設計構造1020などの設計構造を含むことができるネットリスト(Netlist)1080を生成するためのハードウェア・モジュールもしくはソフトウェア・モジュール、またはその両方を使用し、それらを含むことが好ましい。ネットリスト1080は例えば、集積回路設計内の他の要素および他の回路への接続を記述するワイヤ、個別構成要素、論理ゲート、制御回路、1010のデバイス、モデルなどのリストを表す、コンパイルされたデータ構造、または他の手法で処理されたデータ構造を含むことができる。ネットリスト1080は、デバイスの設計仕様およびパラメータに応じてネットリスト1080が1回または数回再合成される反復プロセスを使用して合成することができる。本明細書に記載された他の設計構造タイプと同様に、ネットリスト1080を、機械可読データ記憶媒体上に記録すること、またはプログラマブル・ゲート・アレイにプログラムすることができる。この媒体は、磁気もしくは光学ディスク・ドライブ、プログラマブル・ゲート・アレイ、コンパクト・フラッシュまたは他のフラッシュ・メモリなどの不揮発性記憶媒体とすることができる。それに加えてまたはその代わりに、この媒体を、システム・メモリもしくはキャッシュ・メモリ、バッファ空間、または、インターネットもしくは他の適当なネットワーキング手段を介してデータ・パケットがその上で伝送され、中間位置で記憶され得る電気伝導性もしくは光伝導性のデバイスおよび材料とすることもできる。
設計プロセス1010は、ネットリスト1080を含むさまざまな入力データ構造タイプを処理するためのハードウェアおよびソフトウェア・モジュールを含むことができる。このようなデータ構造タイプは例えば、ライブラリ要素1030内に存在することができ、所与の製造技術(例えばさまざまな技術ノード、32nm、45nm、90nmなど)に対する、モデル、レイアウトおよび記号表現を含む、共通して使用される一組の要素、回路およびデバイスを含むことができる。データ構造タイプはさらに、設計仕様1040、特性評価データ1050、検証データ1060、設計ルール1070および試験データ・ファイル1085を含むことができ、試験データ・ファイル1085は、入力試験パターン、出力試験結果および他の試験情報を含むことができる。設計プロセス1010はさらに、例えば、応力分析、熱分析、機械的事象シミュレーション、プロセス・シミュレーション、例えばキャスティング、成形およびダイ・プレス成形などの操作に対するプロセス・シミュレーションなどの標準機械設計プロセスを含むことができる。機械設計分野の技術者は、本発明の範囲および思想を逸脱しない、設計プロセス1010で使用される可能な機械設計ツールおよび用途の範囲を理解することができる。設計プロセス1010はさらに、タイミング分析、検証、設計ルール確認、位置およびルート操作などの標準回路設計プロセスを実行するためのモジュールを含むことができる。
入力設計構造1020を、図示された支援データ構造の一部また全部と一緒に、(適用可能な場合には)追加の機械設計またはデータとともに処理して、第2の設計構造1090を生成するために、設計プロセス1010は、HDLコンパイラおよびシミュレーション・モデル構築ツールなどの論理および物理設計ツールを使用し、それらのツールを含む。設計構造1090は、機械デバイスおよび構造のデータ(例えばIGES、DXF、Parasolid XT、JT、DRG、またはこのような機械設計構造を記憶もしくはレンダリングするのに適した他の形式で記憶された情報)の交換に使用されるデータ形式(data format)で、記憶媒体またはプログラマブル・ゲート・アレイ上に存在する。入力設計構造1020と同様に、設計構造1090も、伝送またはデータ記憶媒体上に存在し、ECADシステムによって処理されたときに、図1〜8に示された本発明の実施形態のうちの1つまたは複数の実施形態の論理的に等価の形態または他の態様で機能的に等価の形態を生成する、1つまたは複数のファイル、データ構造または他のコンピュータ・コード化データもしくは命令を含むことが好ましい。1つの実施形態では、設計構造1090が、図1〜8に示されたデバイスを機能的にシミュレートするコンパイルされた実行可能HDLシミュレーション・モデルを含んでよい。
設計構造1090はさらに、集積回路のレイアウト・データの交換に使用されるデータ形式、または記号的データ形式(例えばGDSII(GDS2)、GL1、OASIS、マップ・ファイル、またはこのような設計データ構造を記憶するのに適した他の形式で記憶された情報)、あるいはその両方を使用することができる。設計構造1090は、例えば記号的データ、マップ・ファイル、試験データ・ファイル、設計内容ファイル、製造データ、レイアウト・パラメータ、ワイヤ、金属レベル、バイア、形状、製造ライン内の経路指定のためのデータ、ならびに上で説明し、図1〜8および12〜21に示したデバイスまたは構造を生成するために製造業者またはその他の設計者/開発者が必要とするその他のデータなどの情報を含むことができる。設計構造1090は次いで段階1095に進むことができ、そこで設計構造1090は例えば、テープアウト(tape-out)に進み、製造に対してリリースされ、マスク・ハウスに対してリリースされ、別の設計ハウスに送られ、顧客に戻される、等が行われる。
図11を参照すると、本発明の一実施形態に従って、図3の仮想電源/ブースト回路300内における波形が示されている。時刻tに、ブースト・クロックboostが立ち上がり、トランジスタP1をオフにする。それによってインダクタL1の両端間の電圧が上昇する。ブースト・クロックboostはさらに、ブースト・トランジスタN1のゲートを介してブースト・トランジスタN1のソースに結合する。このことは、動的内部電源ノード電圧VDDVの電圧上昇にさらに寄与する。次のサイクルの時刻tにおいてブースト・クロックboostがアサートされると、インダクタL1が、動的内部電源ノード電圧VDDVをトランジスタP1のソースから分離しているため、トランジスタP1のソース端子およびブースト・トランジスタN1のソースは静的電源電圧VDDにクランプされ、その間に、動的内部電源ノード電圧VDDVは、正弦波の形状をたどり続け、ブースト・クロック信号ブーストの次のデアサーションの直前にピークに達する。図11に示されているように、時刻tに、ブースト・クロックboostがデアサートされたとき、動的内部電源ノード電圧VDDVは、静的電源電圧VDDよりもかなり大きく、ブースト・クロックboostがデアサートされたときを評価する動的回路のためのセットアップ時間を、1つの期間の間、保証するのに十分であった。一例として、立ち下がりエッジを介して回路ブロックの評価を制御するディジタル回路クロックdlckが示されている。ブースト電圧が、静的電源電圧VDDのより低い値において達成されるであろう性能、すなわちブースト回路300なしで達成されるであろう性能よりも性能を向上させるクリティカルなタイミング期間の間、動的内部電源ノード電圧VDDVがブースト値を有するようにすべく、ブースト・クロックboostのタイミングが、(メモリ記憶またはメモリ読出しを含む)論理回路の状態評価を制御する別のクロックに対してどのように制御されるのかを示すために、例示的なセットアップ期間tSUが示されている。仮想電源/ブースト回路300が、動的内部電源ノード電圧VDDVのタイミング調整された増大を提供するだけでなく、この増大を生み出すのに必要なエネルギーが、ブースト・クロックboostのアサーションの前の時間の間、インダクタL1に蓄積され、ブースト・クロックboostの次のデアサーション、すなわち次の評価の前に、動的内部電源ノード電圧VDDVの次のピークを生成するのを助けるために使用される。このエネルギーは、動的内部電源ノード105に接続された全てのデバイスの大きな分路静電容量CSHUNTのため、かなり大きくなる。
図12〜21は、本発明の一実施形態に従って、仮想電源/ブースト回路を製造するための例示的な方法1200を示す。方法1200に関しては、例示のため、ある種の材料が指定されている。しかしながら、本発明は、記載された材料だけに限定されるものではなく、したがって、本発明の思想を維持しつつ、他の材料を使用することもできることを理解すべきである。本明細書に提供された本発明の教示が与えられれば、使用することができる他の材料は、当業者によって容易に理解される。
図12を参照すると、ステップ1205で、ウェーハ基板1301を用意する。
図13を参照すると、ステップ1210で、回路用のフロント・エンド・オブ・ライン(FEOL)/バック・エンド・オブ・ライン(BEOL)層1302を形成し、FEOL/BEOL層1302内に共振クロック回路1303を形成する。
図14を参照すると、ステップ1215で、磁性インダクタを追加するためのシーケンスを処理する。一実施形態では、ステップ1215が例えば、低kの層またはSiO誘電体1304を追加することを含むことができる。
図15を参照すると、ステップ1220で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1220が例えば、従来のリソグラフィおよびエッチング、ならびに続く金属充填および化学機械研磨によって、下部ワイヤ・レベル1305を形成することを含むことができる。
図16を参照すると、ステップ1225で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1225が例えば、積層磁性材料1306を堆積させることを含むことができる。一実施形態では、積層磁性材料1306が、磁性材料FeTaNもしくはFeNiもしくはFeAlOまたはこれらの組合せを含むコバルト(Co)であって、限定はされないが二酸化シリコンもしくは窒化シリコンまたはその両方を含む誘電体材料と積層されたコバルト(Co)からなる。
図17を参照すると、ステップ1230で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1230が例えば、磁性材料にパターンを形成することを含むことができる。一実施形態では、磁性材料のパターン形成が例えば、酸化物ハード・マスク1307およびフォトリソグラフィ・プロセスを使用してレジスト像1308を形成することを含むことができる。
図18を参照すると、ステップ1235で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1235が例えば、磁性材料にさらにパターンを形成することを含むことができる。一実施形態では、磁性材料のパターン形成が例えば、酸化物ハード・マスク1307およびフォトリソグラフィ・プロセスをさらに使用することを含むことができる。
図19を参照すると、ステップ1240で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1240が例えば、誘電体1308を堆積させることおよび平坦化することを含むことができる。
図20を参照すると、ステップ1245で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1245が例えば、コンタクト1309を含むコンタクト・レベルを形成することを含むことができる。
図21を参照すると、ステップ1250で、磁性インダクタを追加するためのシーケンスの処理を継続する。一実施形態では、ステップ1250が例えば、上部ワイヤ・レベル1310を形成することを含むことができる。一実施形態では、上部ワイヤ・レベル1310が、上部誘電体1310Aを堆積させ、従来のリソグラフィおよびエッチングを実行することによって形成される。その結果形成された誘電体トレンチにライナ、シードおよび極板金属(これらはひとまとめにして図参照符号1310Bによって示されている)が充填され、次いで、この金属が、誘電体1310Aの上面まで平坦化される。
本発明の諸態様は、例示的な所与のアーキテクチャに関して説明されるが、本発明の諸態様の範囲内で、他のアーキテクチャ、構造、基板材料ならびにプロセス特徴およびプロセス・ステップを変更することができることを理解すべきである。
層、領域または基板などの1つの要素が別の要素「上に」ある、または別の要素「の上に」あると書かれているとき、その要素はその別の要素上に直接にあることがあり、または介在要素が存在することもあることも理解される。それに対して、1つの要素が別の要素「上に直接に」または「の上に直接に」あると書かれているとき、介在要素は存在しない。1つの要素が別の要素に「接続されている」または「結合されている」と書かれているとき、その要素はその別の要素に直接に接続もしくは結合されていることがあり、または介在要素が存在することもあることも理解される。それに対して、1つの要素が別の要素に「直接に接続されている」または「直接に結合されている」と書かれているとき、介在要素は存在しない。
本発明の実施形態は、集積回路チップの設計を含むことができ、その設計は、グラフィカル・コンピュータ・プログラミング言語で作成することができ、コンピュータ記憶媒体(例えばディスク、テープ、物理ハード・ドライブまたは仮想ハード・ドライブ、例えば記憶アクセス・ネットワーク内の仮想ハード・ドライブ)に記憶することができる。設計者が、チップを製造せず、またはチップを製造するために使用されるフォトリソグラフィ・マスクを製造しない場合、設計者は、物理的手段によって(例えば設計を記憶した記憶媒体のコピーを提供することによって)、または電子的に(例えばインターネットを介して)、作成した設計を、このようなエンティティに直接にまたは間接的に送ることができる。記憶された設計は次いで、フォトリソグラフィ・マスクの製造のために適切な形式(例えばGDSII)に変換される。フォトリソグラフィ・マスクは通常、ウェーハ上に形成される当該チップ設計の多数のコピーを含む。フォトリソグラフィ・マスクは、エッチングまたは他の手法で処理するウェーハのエリア(もしくはウェーハ上の層またはその両方)を画定するために利用される。
本明細書に記載された方法を、集積回路チップの製造において使用することができる。製造者は、その結果として製造された集積回路チップを、未加工ウェーハの形態で(すなわちパッケージ化されていない多数のチップを有する単一のウェーハとして)、裸のダイとして、またはパッケージ化された形態で配布することができる。この最後のケースでは、単一のチップ・パッケージ(例えばマザーボードに貼付されたリードを有するプラスチック担体または他のより高レベルの担体)内、またはマルチチップ・パッケージ(例えば表面相互接続もしくは埋込み相互接続またはその両方を有するセラミック担体)内にチップが取り付けられる。いずれにせよ、このチップは、(a)マザーボードなどの中間製品の部分または(b)最終製品の部分として、他のチップ、個別回路要素もしくは他の信号処理デバイス、またはこれらの任意の組合せと統合される。この最終製品は、玩具および他のローエンド用途から、ディスプレイ、キーボードまたは他の入力デバイスおよび中央処理装置を有する先進のコンピュータ製品までにわたる、集積回路チップを含む任意の製品であることができる。
材料化合物は、記載された要素、例えばSiGeに関して説明されることも理解すべきである。これらの化合物は、その化合物内の元素を異なる割合で含む。例えば、SiGeはSiGe1−xを含み、ここでxは1以下である、等である。加えて、他の元素を化合物内に含むこともでき、それらの元素は、本発明の原理に従って依然として機能することができる。加えて、その化合物に他の元素を含めることもでき、それらの元素は、本発明の原理に従って依然として機能することができる。本明細書では、追加の元素を含む化合物を合金と呼ぶ。
本明細書において、「1つの実施形態」または「一実施形態」、およびこれらの表現の異形表現が使用されているとき、このことは、その実施形態に関連して記載された特定の特徴、構造、特性などが少なくとも1つの実施形態に含まれることを意味する。したがって、句「1つの実施形態では」または「一実施形態では」の出現、および本明細書のさまざまな位置に現れる他の異形表現は、それらの全てが必ず同じ実施形態を指しているというわけではない。
例えば「A/B」、「AもしくはBまたはその両方」および「AとBのうちの少なくとも1つ」の場合のような、以下での「/」、「〜もしくは〜またはその両方」および「〜のうちの少なくとも1つ」のうちのいずれかの使用は、列挙された1番目の選択肢(A)だけの選択、または列挙された2番目の選択肢(B)だけの選択、または両方の選択肢(AおよびB)の選択を包含することが意図されているものと理解されたい。別の例として、「A、BもしくはCまたはこれらの組合せ」および「A、BおよびCのうちの少なくとも1つ」の場合、このような言い回しは、列挙された1番目の選択肢(A)だけの選択、または列挙された2番目の選択肢(B)だけの選択、または列挙された3番目の選択肢(C)だけの選択、または列挙された1番目および2番目の選択肢(AおよびB)だけの選択、または列挙された1番目および3番目の選択肢(AおよびC)だけの選択、または列挙された2番目および3番目の選択肢(BおよびC)だけの選択、または全ての3つの選択肢(AおよびBおよびC)の選択を包含することが意図されている。本技術分野の技術者および関連技術分野の技術者には容易に分かることだが、このことは、列挙されたアイテムと同じ数のアイテムにまで拡張することができる。
本明細書で使用される用語は、特定の実施形態を説明することだけが目的であり、それらの用語が例示的な実施形態を限定することは意図されていない。本明細書で使用されるとき、単数形の「a」、「an」および「the」は、そうでないことが文脈から明らかである場合を除き、複数形も含むことが意図されている。また、本明細書で使用されたとき、用語「備える(comprises)」、「備えている(comprising)」、「含む(includes)」もしくは「含んでいる(including)」、またはこれらの組合せは、明示された特徴、整数、ステップ、動作、要素もしくは構成要素またはそれらの組合せの存在を指定するが、その他の1つもしくは複数の特徴、整数、ステップ、動作、要素、構成要素もしくはこれらのグループまたはこれらの組合せの存在または追加を排除しないことが理解される。
説明を容易にするため、図に示された別の要素または特徴に対する1つの要素または特徴の関係を記述するために、「の下方(beneath)」、「よりも下(below)」、「下部(lower)」、「よりも上(above)」、「上部(upper)」などの空間に関する相対的な用語が本明細書において使用されることがある。空間に関するこれらの相対的な用語は、図に描かれた向きに加えて、装置の使用または操作の異なる向きを包含することが意図されていることが理解される。例えば、図に示された装置が裏返された場合、別の要素または特徴の「下方に」または「下に」にあると記載された要素は、その別の要素または特徴「よりも上」にあることになる。したがって、用語「よりも下」は、上方と下方の両方の向きを包含しうる。これとは異なる向き(90度回転させた向きまたはその他の向き)に装置が配置されることもあり、その場合、本明細書で使用される空間に関する相対的な用語はそれに応じて解釈することができる。それに加えて、層が、2つの層「の間に」あると書かれているときには、その層は2つの層の間の唯一の層であることがあり、または1つもしくは複数の介在層が存在することもあることも理解される。
本明細書では、さまざまな要素を説明するために第1、第2などの用語が使用されることがあるが、それらの要素は、これらの用語によって限定されるものではないことが理解される。それらの用語は、1つの要素を別の要素から区別するためだけに使用される。したがって、本発明の範囲から逸脱することなく、下で論じられる第1の要素が第2の要素と呼ばれることがある。
システムおよび方法の好ましい実施形態(これらの実施形態は例示目的であることが意図されており、限定を目的としたものではない)を説明したが、上記の教示を考慮して、当業者は、修正および変更を加えることができることに留意されたい。したがって、添付の特許請求項によって概要が示された本発明の範囲に含まれる変更を、開示された特定の実施形態に実施することができることを理解すべきである。このように、本発明の諸態様を、特許法が要求する詳細(details)および特殊性(particularity)とともに説明したが、請求し、特許証による保護を要望するものは、添付の特許請求項に記載されている。

Claims (18)

  1. 他の一群の回路の動的内部電源ノードに供給電圧を提供するための回路であって、
    一定の電源電圧を供給する静的電源に並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタと、
    前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続された第1の端子および前記動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、前記磁性インダクタと
    を備える、回路。
  2. 他の一群の回路の動的内部電源ノードに供給電圧を提供するための回路であって、
    並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、前記動的内部電源ノードに接続された端子および実質的に一定の電源電圧を供給する静的電源に接続された別の端子をそれぞれが有する、前記第1のトランジスタおよび前記第2のトランジスタと、
    前記静的電源に接続された第1の端子および前記動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、前記磁性インダクタと
    を備える、回路。
  3. 他の一群の回路の動的内部電源ノードに供給電圧を提供するための回路であって、
    並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、一定の電源電圧を供給する静的電源に接続された非ゲート端子および前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続された別の非ゲート端子をそれぞれが有し、前記第1のトランジスタのゲートおよび前記第2のトランジスタの2つのゲートがブースト・クロックに接続された、前記第1のトランジスタおよび前記第2のトランジスタと、
    前記第1のトランジスタと前記第2のトランジスタの間の前記共通ノードに接続された第1の端子および前記動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、前記ブースト・クロックの位相に応答して、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、前記磁性インダクタと
    を備える、回路。
  4. 前記少なくとも1つの静電容量とは異なるコンデンサであり、前記コンデンサの第1の端子が、前記第1のトランジスタと前記第2のトランジスタの間の前記共通ノードに接続され、前記コンデンサの第2の端子が前記動的内部電源ノードに接続されるように、前記磁性インダクタに並列に接続された、前記コンデンサをさらに備える、請求項1または3に記載の回路。
  5. 前記他の一群の回路の中の動的論理回路に対する評価および静的論理回路に対する状態変化を制御する前記他の一群の回路の機能クロックと同期がとられたブースト・クロックの第1の位相に応答して、前記第1のトランジスタがディスエーブルされる、請求項1ないし3のいずれか一項に記載の回路。
  6. 前記ブースト・クロックの第2の位相中に前記第1のトランジスタがディスエーブルにされていることに応答して、前記磁性インダクタが前記少なくとも1つの静電容量と共振して、前記動的内部電源ノードに前記ブースト電圧を供給する、請求項5に記載の回路。
  7. 評価時間中および前記状態変化中に前記ブースト電圧を提供するために、前記動的論理回路に対する前記評価時間および前記静的論理回路に対する前記状態変化に対応するタイミングで、前記ブースト・クロックの前記第2の位相が提供される、請求項6に記載の回路。
  8. 前記第2のトランジスタが、ブースト・クロックに結合された2つのゲート端子、前記動的内部電源ノードに接続されたソース端子、および前記静的電源に接続されたドレイン端子を有する、請求項1ないし3のいずれか一項に記載の回路。
  9. 前記第1のトランジスタが、前記第2のトランジスタの前記2つのゲート端子に結合されたゲート端子、前記第2のトランジスタの前記ソース端子に接続されたソース端子、および前記第2のトランジスタの前記ドレイン端子に接続されたドレイン端子を有する、請求項8に記載の回路。
  10. 前記第2のトランジスタが、前記第1のトランジスタのゲート端子に接続された2つのゲート端子を有し、それによって、前記第2のトランジスタが、ブースト・クロックの第1の位相によってイネーブルされ、前記第2のトランジスタが、前記第1のトランジスタのソース端子に接続されたソース端子を有し、それによって、前記第1のトランジスタおよび前記第2のトランジスタが、前記磁性インダクタおよび前記少なくとも1つの静電容量を前記静的電源に結合する、請求項1に記載の回路。
  11. 前記第2のトランジスタが、前記第1のトランジスタのゲート端子に接続された2つのゲート端子を有し、それによって、前記第2のトランジスタが、ブースト・クロックの第1の位相によってイネーブルされ、前記第2のトランジスタが、前記第1のトランジスタのソース端子に接続されたソース端子を有する、請求項2に記載の回路。
  12. 前記他の一群の回路が、前記動的内部電源ノードとリターン・ノードの間に接続されており、前記少なくとも1つの静電容量が、前記他の一群の回路に由来する分路静電容量を含む、請求項1ないし3のいずれか一項に記載の回路。
  13. 前記他の回路が、論理回路、メモリ回路、および論理要素とメモリ要素の両方を有する回路からなるグループから選択された、請求項1ないし3のいずれか一項に記載の回路。
  14. 他の一群の回路の動的内部電源ノードに供給電圧を提供する集積回路を形成するための方法であって、
    一定の電源電圧を供給する静的電源に並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタを形成すること、ならびに
    前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続された第1の端子および前記動的内部電源ノードに接続された第2の端子を有するオンチップ磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、前記オンチップ磁性インダクタを形成すること
    を含む、方法。
  15. 他の一群の回路の動的内部電源ノードに供給電圧を提供する集積回路を形成するための方法であって、
    並列に結合された異なるチャネル型の第1のトランジスタおよび第2のトランジスタであり、前記動的内部電源ノードに接続された端子および実質的に一定の電源電圧を供給する静的電源に接続された別の端子をそれぞれが有する、前記第1のトランジスタおよび前記第2のトランジスタを形成すること、ならびに
    前記静的電源に接続された第1の端子および前記動的内部電源ノードに接続された第2の端子を有するオンチップ磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧の大きさよりも大きな大きさを有するブースト電圧を供給する、前記オンチップ磁性インダクタを形成すること
    を含む、方法。
  16. 前記少なくとも1つの静電容量とは異なるコンデンサであり、前記コンデンサの第1の端子が、前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続され、前記コンデンサの第2の端子が前記動的内部電源ノードに接続されるように、前記磁性インダクタに並列に接続された、前記コンデンサを形成することをさらに含む、請求項15に記載の方法。
  17. 供給電圧を提供するための回路であって、
    一定の電源電圧を供給する静的電源に結合された第1のトランジスタおよび第2のトランジスタと、
    前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有する磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧に対するブースト電圧を供給する、前記磁性インダクタと
    を備える、回路。
  18. 供給電圧を提供する集積回路を形成するための方法であって、
    一定の電源電圧を供給する静的電源に並列に結合された第1のトランジスタおよび第2のトランジスタを形成すること、ならびに
    前記第1のトランジスタと前記第2のトランジスタの間の共通ノードに接続された第1の端子および動的内部電源ノードに接続された第2の端子を有するオンチップ磁性インダクタであり、前記動的内部電源ノードに結合された少なくとも1つの静電容量と共振することにより、前記動的内部電源ノードに、前記一定の電源電圧に対するブースト電圧を供給する、前記オンチップ磁性インダクタを形成すること
    を含む、方法。
JP2019561924A 2017-05-17 2018-05-14 動的内部電源ノードへの供給電圧の提供 Active JP7083558B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/597,978 2017-05-17
US15/597,978 US10003337B1 (en) 2017-05-17 2017-05-17 Resonant virtual supply booster for synchronous logic circuits and other circuits with use of on-chip integrated magnetic inductor
US15/962,510 2018-04-25
US15/962,510 US10389356B2 (en) 2017-05-17 2018-04-25 Resonant virtual supply booster for synchronous logic circuits and other circuits with use of on-chip integrated magnetic inductor
PCT/IB2018/053334 WO2018211399A1 (en) 2017-05-17 2018-05-14 Providing supply voltage to a dynamic internal power supply node

Publications (2)

Publication Number Publication Date
JP2020520218A true JP2020520218A (ja) 2020-07-02
JP7083558B2 JP7083558B2 (ja) 2022-06-13

Family

ID=62554714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019561924A Active JP7083558B2 (ja) 2017-05-17 2018-05-14 動的内部電源ノードへの供給電圧の提供

Country Status (6)

Country Link
US (4) US10003337B1 (ja)
JP (1) JP7083558B2 (ja)
CN (1) CN110622406B (ja)
DE (1) DE112018001288T5 (ja)
GB (1) GB2577196A (ja)
WO (1) WO2018211399A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09223799A (ja) * 1995-10-13 1997-08-26 Siliconix Inc 多重ゲートmosfet
JP2001284533A (ja) * 2000-03-29 2001-10-12 Oki Electric Ind Co Ltd オンチップ・コイルとその製造方法
JP2006013111A (ja) * 2004-06-25 2006-01-12 Internatl Business Mach Corp <Ibm> 磁気コアを有するオンチップ・インダクタ
JP2006340476A (ja) * 2005-06-01 2006-12-14 Mitsumi Electric Co Ltd 電流共振型マルチフェーズdc/dcコンバータおよびその制御方法
US20170025948A1 (en) * 2015-07-23 2017-01-26 International Business Machines Corporation Resonant virtual supply booster for synchronous digital circuits having a predictable evaluate time

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8089323B2 (en) 2006-08-05 2012-01-03 Min Ming Tarng Green technology: green circuit and device designs of green chip
EP1094465A1 (de) * 1999-10-20 2001-04-25 Infineon Technologies AG Speichereinrichtung
TW511419B (en) * 2000-10-10 2002-11-21 Primarion Inc Microelectronic magnetic structure, device including the structure, and methods of forming the structure and device
JP4997122B2 (ja) * 2008-01-15 2012-08-08 株式会社リコー 電源供給回路及びその動作制御方法
JP2011049424A (ja) 2009-08-28 2011-03-10 Sony Corp 半導体デバイス
US8315123B2 (en) * 2010-12-20 2012-11-20 Arm Limited Wordline voltage control within a memory
CN102158096B (zh) * 2011-05-11 2013-11-20 南京博兰得电子科技有限公司 一种非隔离式谐振变换器
JP5888754B2 (ja) 2011-05-18 2016-03-22 国立大学法人 東京大学 集積回路装置
US9037856B2 (en) 2012-07-18 2015-05-19 Nexenta Systems, Inc. System and method for distributed deduplication of encrypted chunks
US8742817B2 (en) * 2012-08-31 2014-06-03 Advanced Micro Devices, Inc. Controlling impedance of a switch using high impedance voltage sources to provide more efficient clocking
US8960404B2 (en) 2012-10-01 2015-02-24 Jcm American Corporation Bezel assembly for data reception
TWI458242B (zh) * 2012-11-16 2014-10-21 Ind Tech Res Inst 直流轉換電路
US9692300B2 (en) 2013-03-13 2017-06-27 Schneider Electric USA, Inc. Supply regulation circuit with energy efficient digital control
US9405357B2 (en) 2013-04-01 2016-08-02 Advanced Micro Devices, Inc. Distribution of power gating controls for hierarchical power domains
CN103199593B (zh) 2013-04-26 2015-02-04 无锡中星微电子有限公司 一种充电管理电路和系统
US9135987B2 (en) * 2013-07-01 2015-09-15 Internatinal Business Machines Corporation FinFET-based boosting supply voltage circuit and method
CN103633840B (zh) * 2013-11-29 2016-06-22 华南理工大学 一种单开关高增益升压dc/dc变换器
US9563263B2 (en) 2013-12-19 2017-02-07 Intel Corporation Graphics processor sub-domain voltage regulation
JP6469999B2 (ja) 2014-09-11 2019-02-13 ローム株式会社 ブートストラップ回路

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09223799A (ja) * 1995-10-13 1997-08-26 Siliconix Inc 多重ゲートmosfet
JP2001284533A (ja) * 2000-03-29 2001-10-12 Oki Electric Ind Co Ltd オンチップ・コイルとその製造方法
JP2006013111A (ja) * 2004-06-25 2006-01-12 Internatl Business Mach Corp <Ibm> 磁気コアを有するオンチップ・インダクタ
JP2006340476A (ja) * 2005-06-01 2006-12-14 Mitsumi Electric Co Ltd 電流共振型マルチフェーズdc/dcコンバータおよびその制御方法
US20170025948A1 (en) * 2015-07-23 2017-01-26 International Business Machines Corporation Resonant virtual supply booster for synchronous digital circuits having a predictable evaluate time

Also Published As

Publication number Publication date
US20180337678A1 (en) 2018-11-22
JP7083558B2 (ja) 2022-06-13
CN110622406B (zh) 2021-11-12
US20180337679A1 (en) 2018-11-22
US20180337677A1 (en) 2018-11-22
CN110622406A (zh) 2019-12-27
GB201916879D0 (en) 2020-01-01
US10270443B2 (en) 2019-04-23
US10389356B2 (en) 2019-08-20
US10256819B2 (en) 2019-04-09
DE112018001288T5 (de) 2020-01-02
GB2577196A (en) 2020-03-18
WO2018211399A1 (en) 2018-11-22
US10003337B1 (en) 2018-06-19

Similar Documents

Publication Publication Date Title
US7612391B2 (en) Semiconductor integrated circuit device
US7920020B2 (en) System and method for auto-power gating synthesis for active leakage reduction
KR20170099862A (ko) 전하 인터커넥트들 및 자기전기 노드들을 갖는 스핀 궤도 로직
US9552851B2 (en) Current-mode sense amplifier
JP6307506B2 (ja) 共振クロッキングモードと通常のクロッキングモードとの間の遷移
US10699771B2 (en) Voltage boost circuit
JP7062694B2 (ja) 磁気シールドをともなう共振クロック回路
CN110622406B (zh) 为动态内部电源节点提供电源电压
US9660530B2 (en) Resonant virtual supply booster for synchronous digital circuits having a predictable evaluate time
US20230102901A1 (en) Cross field effect transistor (xfet) architecture process
Sakthimurugan et al. Designing low power magentic flip flop in 45 nm FDSOI technology for large scale cluster based engineering application
TW447191B (en) Method and apparatus for measuring setup test in dynamic complementary oxide semiconductor (CMOS) silicon on insulator (SOI) logic circuits

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201021

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211019

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20211215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220117

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220517

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20220517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220526

R150 Certificate of patent or registration of utility model

Ref document number: 7083558

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150