DE112016000183B4 - Spannungslösung in PFET-Bereichen - Google Patents

Spannungslösung in PFET-Bereichen Download PDF

Info

Publication number
DE112016000183B4
DE112016000183B4 DE112016000183.5T DE112016000183T DE112016000183B4 DE 112016000183 B4 DE112016000183 B4 DE 112016000183B4 DE 112016000183 T DE112016000183 T DE 112016000183T DE 112016000183 B4 DE112016000183 B4 DE 112016000183B4
Authority
DE
Germany
Prior art keywords
silicon
layer
gate structure
germanium
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112016000183.5T
Other languages
English (en)
Other versions
DE112016000183T5 (de
Inventor
Bruce Doris
Kern Rim
Alexander Reznicek
Darsen Duane Lu
Ali Khakifirooz
Kangguo Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112016000183T5 publication Critical patent/DE112016000183T5/de
Application granted granted Critical
Publication of DE112016000183B4 publication Critical patent/DE112016000183B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren zum Herstellen einer Halbleiter-Einheit, das aufweist:Bereitstellen einer Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur), wobei die SSOI-Struktur wenigstens ein Substrat (10), eine auf dem Substrat angeordnete dielektrische Schicht (20), eine auf der dielektrischen Schicht angeordnete Silicium-Germanium-Schicht (30) sowie eine direkt auf der Silicium-Germanium-Schicht angeordnete Schicht (40) aus einem spannungsreichen Halbleitermaterial aufweist;Bilden einer Mehrzahl von Rippen auf der SSOI-Struktur, indem die Schicht aus einem spannungsreichen Halbleitermaterial und die Silicium-Germanium-Schicht bis herunter zu der dielektrischen Schicht geätzt werden, wobei sich wenigstens eine Rippe (43) der Mehrzahl von Rippen in einem nFET-Bereich der SSOI-Struktur befindet und sich wenigstens eine Rippe (45) der Mehrzahl von Rippen in einem pFET-Bereich der SSOI-Struktur befindet;Bilden einer ersten Gate-Struktur (50) über einem ersten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem nFET-Bereich;Bilden einer zweiten Gate-Struktur (60) über einem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die zweite Gate-Struktur den zweiten Abschnitt auf drei Seiten umgibt;Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich;Entfernen der Silicium-Germanium-Schicht, die durch Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt freiliegt; undBilden einer dritten Gate-Struktur (90) über dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die dritte Gate-Struktur den zweiten Abschnitt auf allen vier Seiten umgibt, wobei die dritte Gate-Struktur einen Abschnitt aus einem fließfähigen Oxid aufweist, wobei der Abschnitt aus einem fließfähigen Oxid zwischen der dielektrischen Schicht und einer unteren Oberfläche des zweiten Abschnitts der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich angeordnet ist.

Description

  • HINTERGRUND DER ERFINDUNG
  • Die vorliegende Erfindung bezieht sich allgemein auf Halbleitereinheiten und spezieller auf eine Verwendung von Substraten aus einem spannungsreichen Silicium auf einem Isolator (SSOI, Strained Silicon-on-Insulator) für nFET-Bereiche mit einer Spannungslösung in pFET-Bereichen.
  • Eine Komplementär-Metall-Oxid-Halbleiter-Einheit (CMOS) verwendet komplementäre und symmetrisch orientierte Paare von Metall-Oxid-Halbleiter-Feldeffekt-Transistoren (MOSFETs) vom p-Typ und vom n-Typ, die auf Silicium- oder Silicium-auf-Isolator(SOI)-Substraten angeordnet sind. Ein MOSFET, der für ein Verstärken oder ein Schalten elektronischer Signale für logische Funktionen verwendet wird, weist einen Source- und einen Drain-Bereich auf, die durch einen Kanal verbunden sind. Bei dem Source-Bereich handelt es sich um einen Anschluss, durch den ein Strom in der Form von Majoritätsladungsträgern (Elektronen oder Löchern) in den Kanal eintritt, und bei dem Drain-Bereich handelt es sich um einen Anschluss, durch den ein Strom in der Form von Majoritätsladungsträgern den Kanal verlässt. Bei einem MOSFET vom p-Typ (im Folgenden „pFET“) handelt es sich bei den Majoritätsladungsträgern um Löcher, die durch den Kanal fließen, und bei einem MOSFET vom n-Typ (im Folgenden „nFET“) handelt es sich bei den Majoritätsladungsträgern um Elektronen, die durch den Kanal fließen. Ein Gate liegt über dem Kanal und steuert den Stromfluss zwischen dem Source- und dem Drain-Bereich. Der Kanal kann durch eine dünne „Rippe“ definiert sein, die mehr als eine Oberfläche bereitstellt, durch die das Gate den Stromfluss steuert, was die pFETs und nFETs zu „FinFET“-Einheiten macht. Im Allgemeinen ist die Länge der Rippe mehrere Größenordnungen größer als die Breite.
  • Substrate, die bei der Herstellung von pFETs und nFETs verwendet werden, können Substrate aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Substrate) aufweisen. Derartige Substrate weisen im Allgemeinen intrinsische Zugspannungen von mehreren Giga-Pascal (GPa) auf, was im Allgemeinen die Beweglichkeit von Elektronen verbessert, wodurch die Leistungsfähigkeit einer Einheit verbessert wird. Die Spannung in diesen Substraten ermöglicht eine Verbesserung der Leistungsfähigkeit einer Einheit ohne eine Verschlechterung von elektrostatischen Eigenschaften auch in FinFET-Einheiten mit kurzem Kanal, bei denen die Länge und die Breite des Kanals im Vergleich zu jenen von typischen planaren MOSFETs gering ist.
  • Wenn die umfassenden intrinsischen Spannungen in einem SSOI-Substrat einen vorgegebenen maximalen Wert übersteigen (z.B. größer als etwa 1 GPa), kann die Leistungsfähigkeit von pFET-FinFET-Einheiten um 8 bis 15 % beeinträchtigt sein. Dies ist eine Folge der Verschlechterung der Löcher-Beweglichkeit, wenn in den SSOI-Substraten eine Zugspannung vorliegt. Dementsprechend ist es wünschenswert, die Zugspannung in den Kanälen von pFET-Einheiten abzubauen und deren Leistungsfähigkeit auf die Niveaus von SOI-Substraten zu verbessern/zurückzuführen. Wenn dies erreicht werden kann, können nFET-Einheiten hergestellt werden, die eine höhere Leistungsfähigkeit aufweisen, ohne die komplementären pFET-Einheiten zu verschlechtern.
  • Die US 2014 / 0 197 377 A1 betrifft eine Halbleiterstruktur, umfassend: eine erste Halbleitervorrichtung, umfassend: einen ersten Nanodraht, der über einem Substrat angeordnet ist, wobei der erste Nanodraht einen Mittelpunkt in einem ersten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei die Quellen- und Senkenregionen des ersten Nanodrahtes diskret sind; ein erstes Kontaktpaar, das die diskreten Quellen- und Senkenregionen des ersten Nanodrahtes völlig umgibt; und einen ersten Gate-Elektrodenstapel, der die diskrete Kanalregion des ersten Nanodrahtes völlig umgibt; und eine zweite Halbleitervorrichtung, umfassend: einen zweiten Nanodraht, der über dem Substrat angeordnet ist, wobei der zweite Nanodraht einen Mittelpunkt in einem zweiten Abstand über dem Substrat aufweist und eine diskrete Kanalregion und Quellen- und Senkenregionen zu beiden Seiten der diskreten Kanalregion umfasst, wobei der erste Abstand von dem zweiten Abstand verschieden ist, wobei die Quellen- und Senkenregionen des zweiten Nanodrahtes diskret sind; ein zweites Kontaktpaar, das die diskreten Quellen- und Senkenregionen des zweiten Nanodrahtes völlig umgibt; und einen zweiten Gate-Elektrodenstapel, der die diskrete Kanalregion des zweiten Nanodrahtes völlig umgibt, wobei der erste Nanodraht im Wesentlichen aus einem Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100), Siliciumcarbid und mit Kohlenstoff dotiertem Siliciumgermanium besteht, und der zweite Nanodraht im Wesentlichen aus einem unterschiedlichen Material besteht, das aus der Gruppe ausgewählt ist, die aus Silicium, gestrecktem Silicium, Siliciumgermanium (SixGey, wobei 0 < x < 100 und 0 < y < 100) und mit Kohlenstoff dotiertem Siliciumgermanium besteht.
  • Die US 2014/ 0 084 342 A1 betrifft Verfahren zur Herstellung eines Halbleiterbauelements, wobei das Verfahren umfasst: Ausbilden einer dreidimensionalen Halbleiterstruktur auf einer auf einem Halbleitersubstrat angeordneten epitaktischen Ätzstoppschicht, wobei die epitaktische Ätzstoppschicht ein von der dreidimensionalen Halbleiterstruktur verschiedenes Halbleitermaterial umfasst; Ätzen der dreidimensionalen Halbleiterstruktur, um ein dreidimensionales Kanalgebiet bereitzustellen und Abschnitte der epitaktischen Ätzstoppschicht beiderseits des dreidimensionalen Kanalgebiets freizulegen; Ausbilden eines Source- und eines Draingebiets beiderseits des dreidimensionalen Kanalgebiets und auf der epitaktischen Ätzstoppschicht; Isolieren des dreidimensionalen Kanalgebiets und des Source- und Draingebiets vom Halbleitersubstrat, wobei das Isolieren ein Ausbilden von ein oder mehr Halbleitersockeln durch Entfernen freigelegter Abschnitte des Halbleitersubstrats und ein Ausbilden von ein oder mehr Isolationssockeln durch Oxidieren der ein oder mehr Halbleitersockel umfasst; und, anschließend, Entfernen eines Abschnitts der epitaktischen Ätzstoppschicht; Ausbilden eines den dreidimensionalen Kanalbereich umgebenden Gateelektrodenstapels; und Ausbilden eines Paars von leitenden Kontakten, wobei ein Kontakt wenigstens teilweise das Sourcegebiet umgibt und der andere Kontakt wenigstens teilweise das Draingebiet umgibt.
  • Die US 2007 / 0 152 277 A1 betrifft ein Verfahren zur Herstellung eines MOS-Feldeffekttransistors, das folgende Schritte umfasst: ein Bilden einer Quelle einer mechanischen Beanspruchung aus einer Verbindung mit einer Gitterkonstante, die sich von der von Silizium unterscheidet, in einem Teil innerhalb eines aktiven Bereichs, der durch eine auf einem Siliziumsubstrat gebildete isolierende Schicht getrennt ist; und ein Bilden einer Siliziumkanalschicht, die mit Silizium über der Quelle der mechanischen Beanspruchung gebildet wird.
  • KURZDARSTELLUNG
  • Eine bevorzugte Ausführungsform der vorliegenden Erfindung bezieht sich auf ein Verfahren zur Herstellung einer Halbleiter-Einheit, das ein Bereitstellen einer Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) beinhaltet, wobei die SSOI-Struktur wenigstens ein Substrat, eine auf dem Substrat angeordnete dielektrische Schicht, eine auf der dielektrischen Schicht angeordnete Silicium-Germanium-Schicht sowie eine direkt auf der Silicium-Germanium-Schicht angeordnete spannungsreiche Schicht aus einem Halbleitermaterial aufweist. Das Verfahren beinhaltet des Weiteren ein Bilden einer Mehrzahl von Rippen auf der SSOI-Struktur, indem die Schicht aus einem spannungsreichen Halbleitermaterial und die Silicium-Germanium-Schicht bis zu der dielektrischen Schicht herunter geätzt werden, wobei sich wenigstens eine Rippe der Mehrzahl von Rippen in einem nFET-Bereich der SSOI-Struktur befindet und sich wenigstens eine Rippe der Mehrzahl von Rippen in einem pFET-Bereich der SSOI-Struktur befindet. Das Verfahren beinhaltet des Weiteren ein Bilden einer ersten Gate-Struktur über einem ersten Abschnitt der wenigsten einen Rippe der Mehrzahl von Rippen in dem nFET-Bereich. Das Verfahren beinhaltet des Weiteren ein Bilden einer zweiten Gate-Struktur über einem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die zweite Gate-Struktur den zweiten Abschnitt auf drei Seiten umgibt. Das Verfahren beinhaltet des Weiteren ein Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich. Das Verfahren beinhaltet des Weiteren ein Entfernen der Silicium-Germanium-Schicht, die durch das Entfernen der zweiten Gate-Struktur freigelegt ist, über dem zweiten Abschnitt. Das Verfahren beinhaltet des Weiteren ein Bilden einer dritten Gate-Struktur dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die dritte Gate-Struktur den zweiten Abschnitt auf allen vier Seiten umgibt, wobei die dritte Gate-Struktur einen Abschnitt aus einem fließfähigen Oxid aufweist, wobei der Abschnitt aus einem fließfähigen Oxid zwischen der dielektrischen Schicht und einer unteren Oberfläche des zweiten Abschnitts der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich angeordnet ist.
  • Eine weitere bevorzugte Ausführungsform der vorliegenden Erfindung bezieht sich auf eine Halbleiter-Einheit, die eine Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) aufweist, wobei die SSOI-Struktur wenigstens ein Substrat, eine auf dem Substrat angeordnete dielektrische Schicht, eine auf der dielektrischen Schicht angeordnete Silicium-Germanium-Schicht, sowie eine direkt auf der Silicium-Germanium-Schicht angeordnete Schicht aus einem spannungsreichen Halbleitermaterial aufweist. Die Halbleiter-Einheit beinhaltet des Weiteren eine Mehrzahl von Rippen auf der SSOI-Struktur, die in der Schicht aus einem spannungsreichen Halbleitermaterial und der Silicium-Germanium-Schicht darunter ausgebildet sind, wobei sich wenigsten eine Rippe der Mehrzahl von Rippen in einem nFET-Bereich der SSOI-Struktur befindet und sich wenigstens eine Rippe der Mehrzahl von Rippen in einem pFET-Bereich der SSOI-Struktur befindet. Die Halbleiter-Einheit beinhaltet des Weiteren eine erste Gate-Struktur über einem ersten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem nFET-Bereich, wobei die erste Gate-Struktur den ersten Abschnitt auf drei Seiten umgibt und wobei die Silicium-Germanium-Schicht zwischen der dielektrischen Schicht und einer unteren Oberfläche des ersten Abschnitts angeordnet ist. Die Halbleiter-Einheit beinhaltet des Weiteren eine zweite Gate-Struktur über einem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich, wobei die zweite Gate-Struktur den zweiten Abschnitt auf allen vier Seiten umgibt, wobei die zweite Gate-Struktur einen Abschnitt aus einem fließfähigen Oxid aufweist, wobei der Abschnitt aus einem fließfähigen Oxid zwischen der dielektrischen Schicht und einer unteren Oberfläche des zweiten Abschnitts der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich angeordnet ist.
  • Figurenliste
  • Die folgende detaillierte Beschreibung, die beispielhalber angegeben ist, wird am besten in Verbindung mit den beigefügten Zeichnungen verstanden, in denen:
    • 1 stellt eine Querschnittsansicht einer Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) gemäß einer Ausführungsform der vorliegenden Erfindung dar;
    • 2A stellt eine Querschnittsansicht einer nFET-Einheit und einer pFET-Einheit durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu den Gate-Strukturen (parallel zu den Rippen) dar, die aus der in 1 dargestellten Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) hergestellt wurden;
    • 2B stellt eine Querschnittsansicht durch die in 2A gezeigte Schnittlinie 2B-2B, die eine pFET-Einheit zeigt, durch die Gate-Struktur senkrecht zu der Rippe dar;
    • 3A stellt eine Querschnittsansicht einer nFET-Einheit und einer pFET-Einheit durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu der Gate-Struktur der nFET-Einheit (parallel zu den Rippen) dar, nachdem die Gate-Struktur der pFET-Einheit entfernt wurde;
    • 3B stellt eine Querschnittsansicht durch die in 3A gezeigte Schnittlinie 3B-3B, die eine pFET-Einheit zeigt, durch einen Gate-Graben, der durch die Entfernung der Gate-Struktur gebildet wurde, senkrecht zu der Rippe dar;
    • 4A stellt eine Querschnittsansicht der nFET-Einheit und der pFET-Einheit von 3A durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu der Gate-Struktur der nFET-Struktur (parallel zu den Rippen) dar, nachdem der Abschnitt der Silicium-Germanium-Schicht entfernt wurde, der durch die Entfernung der Gate-Struktur der pFET-Einheit freigelegt wurde;
    • 4B stellt eine Querschnittsansicht durch die in 4A gezeigte Schnittlinie 4B-4B, die eine pFET-Einheit zeigt, durch den Gate-Graben nach der Entfernung des Abschnitts der Silicium-Germanium-Schicht, der durch die Entfernung der Gate-Struktur freigelegt wurde, senkrecht zu der Rippe dar;
    • 5A stellt eine Querschnittsansicht der nFET-Einheit und der pFET-Einheit von 4A durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu der Gate-Struktur der nFET-Einheit (parallel zu den Rippen) nach der Bildung einer neuen Gate-Struktur in der pFET-Einheit dar; und
    • 5B stellt eine Querschnittsansicht durch die in 5A gezeigte Schnittlinie 5B-5B, die eine pFET-Einheit zeigt, durch den Gate-Graben nach der Bildung einer neuen Gate-Struktur senkrecht zu der Rippe dar.
  • DETAILLIERTE BESCHREIBUNG
  • Hierin sind detaillierte Ausführungsformen der beanspruchten Strukturen und Verfahren offenbart; es versteht sich jedoch, dass die offenbarten Ausführungsformen lediglich illustrativ für die beanspruchten Strukturen und Verfahren sind, die in verschiedenen Formen ausgeführt werden können. Darüber hinaus soll jedes der Beispiele, die in Verbindung mit den verschiedenen Ausführungsformen angegeben sind, illustrativ und nicht beschränkend sein. Des Weiteren sind die Figuren nicht notwendigerweise maßstabsgetreu, einige Merkmale können übertrieben dargestellt sein, um Details spezieller Komponenten zu zeigen.
  • Bezugnahmen in der Beschreibung auf „eine Ausführungsform“, „eine Ausführungsform“, „eine beispielhafte Ausführungsform“ etc. zeigen an, dass die beschriebene Ausführungsform ein spezielles Merkmal, eine spezielle Struktur oder eine spezielle Eigenschaft beinhalten kann, dass es jedoch möglich ist, dass nicht jede Ausführungsform notwendigerweise das spezielle Merkmal, die spezielle Struktur oder die spezielle Eigenschaft aufweist. Darüber hinaus beziehen sich derartige Ausdrücke nicht notwendigerweise auf die gleiche Ausführungsform. Wenn ein spezielles Merkmal, eine spezielle Struktur oder eine spezielle Eigenschaft in Verbindung mit einer Ausführungsform beschrieben ist, wird übermittelt, dass es in der Kenntnis eines Fachmanns liegt, ein derartiges Merkmal, eine derartige Struktur oder eine derartige Eigenschaft in Verbindung mit anderen Ausführungsformen zu beeinflussen, ob dies explizit beschrieben ist oder nicht.
  • Zu Zwecken der Beschreibung beziehen sich im Folgenden die Begriffe „obere“, „untere“, „rechte“, „linke“, „vertikal“, „horizontal“, „oben“, „unten“ und Ableitungen derselben auf die offenbarte vorliegende Erfindung, wie sie in den Zeichnungsfiguren orientiert ist. Die Begriffe „darüber liegend“, „darunter liegend“, „auf“, „oben auf“, „positioniert auf“ oder „positioniert oben auf“ bedeuten, dass ein erstes Element, wie beispielsweise eine erste Struktur, auf einem zweiten Element, wie beispielsweise einer zweiten Struktur, vorhanden ist, wobei zwischen dem ersten Element und dem zweiten Element zwischenliegende Elemente, wie beispielsweise eine Grenzflächenstruktur, vorhanden sein können. Der Begriff „direkter Kontakt“ bedeutet, dass ein erstes Element, wie beispielsweise eine erste Struktur, und ein zweites Element, wie beispielsweise eine zweite Struktur, ohne irgendwelche intermediäre leitende Schichten, isolierende Schichten oder Halbleiterschichten an der Grenzfläche der zwei Elemente verbunden sind.
  • Schaltungen, wie sie hierin beschrieben sind, können Teil eines Designs für einen integrierten Schaltkreischip sein. Das Chip-Design kann in einer graphischen Computerprogrammiersprache erzeugt werden und in einem Computerspeichermedium gespeichert werden (wie beispielweise einer Disk, einem Band, einer physischen Festplatte oder einer virtuellen Festplatte, wie beispielsweise einem Speicherzugriffsnetzwerk). Wenn der Konstrukteur Chips oder die photolithographischen Masken, die zur Herstellung von Chips verwendet werden, nicht herstellt, kann der Konstrukteur das resultierende Design mittels physischer Mittel (z.B. durch Bereitstellen einer Kopie des Speichermediums, das das Design speichert) oder elektronisch (z.B. durch das Internet) an derartige Entitäten direkt oder indirekt übermitteln. Das gespeicherte Design wird dann in das geeignete Format (z.B. GDSII) für die Herstellung von photolithographischen Masken konvertiert, die typischerweise mehrere Kopien des fraglichen Chip-Designs beinhalten, die auf einem Wafer zu bilden sind. Die photolithographischen Masken werden dazu verwendet, Bereiche des Wafers (und/oder die Schichten darauf) zu definieren, die zu ätzen oder auf andere Weise zu bearbeiten sind.
  • Verfahren, wie sie hierin beschrieben sind, können bei der Herstellung von integrierten Schaltkreischips verwendet werden. Die resultierenden integrierten Schaltkreischips können von dem Hersteller in Rohwaferform (das heißt als ein einzelner Wafer, der mehrere ungepackte Chips aufweist), als blanker Chip oder in einer gepackten Form vertrieben werden. Im letzteren Fall ist der Chip in einer Einzelchippackung (wie beispielsweise einem Kunststoffträger mit Leitungen, die an einer Hauptplatine oder einem anderen übergeordneten Träger befestigt sind) oder in einer Mehrchip-Packung montiert (wie beispielsweise einem Keramikträger, der entweder Oberflächenverbindungen oder vergrabene Verbindungen oder beides aufweist). In jedem Fall ist der Chip dann mit anderen Chips, einzelnen Schaltkreiselementen und/oder anderen signalverarbeitenden Einheiten als Teil von entweder (a) einem Zwischenprodukt, wie beispielsweise einer Hauptplatine, oder (b) einem Endprodukt integriert. Bei dem Endprodukt kann es sich um irgendein Produkt handeln, das integrierte Schaltkreischips beinhaltet, die in einem Bereich von Spielwaren und anderen kostengünstigen Anwendungen bis zu hochentwickelten Computerprodukten liegen, die eine Anzeige, eine Tastatur oder eine andere Eingabeeinheit und einen Zentralprozessor aufweisen.
  • Einige Ausführungsformen der vorliegenden Erfindung erkennen, dass für eine Erhöhung der FinFET-Leistungsfähigkeit ein spannungsreiches Kanalmaterial notwendig ist. Eine Verwendung von SSOI-Substraten als Ausgangswafer ergibt spannungsreiche Rippen. Zugspannungsreiche Rippen (SSOI) sind vorteilhaft für nFET-Einheiten, jedoch nicht für pFET-Einheiten. Daher besteht ein Bedarf, die Zugspannung in den pFET-Rippen zu lösen.
  • Ausführungsformen der vorliegenden Erfindung stellen im Allgemeinen eine Struktur und ein Verfahren zur Bildung von FinFET-Einheiten auf einem Substrat aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Substrat) bereit, das zugspannungsreiche Rippen für nFET-Einheiten und relaxierte Rippen für pFET-Einheiten aufweist. Die Struktur kann durch ein selektives Ätzen einer Opfer-SiGe-Schicht unter den Rippen in dem pFET-Bereich gebildet werden.
  • Die vorliegende Erfindung wird nun im Detail unter Bezugnahme auf die Figuren beschrieben.
  • 1 stellt eine Querschnittsansicht einer Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) gemäß einer Ausführungsform der vorliegenden Erfindung dar. Bei einer Ausführungsform beinhaltet die SSOI-Struktur ein Substrat 10, eine dielektrische Schicht 20, eine Silicium-Germanium-Schicht 30 sowie eine Schicht 40 aus einem spannungsreichen Halbleitermaterial für Ausführungsformen, die eine FinFET-Struktur beinhalten, die auf einem SSOI-Vorprodukt aufgebaut ist. Bei einer anderen Ausführungsform können das Substrat 10, die dielektrische Schicht 20 sowie die Silicium-Germanium-Schicht 30 durch ein Substrat aus einem thermisch gemischten Silicium-Germanium auf einem Isolator (TMSGOI-Substrat) oder ein Substrat aus einem spannungsreichen Silicium-Germanium auf einem Isolator (SSGOI-Substrat) ersetzt werden.
  • Das Substrat 10 besteht aus irgendeinem herkömmlichen Halbleiter-Substratmaterial. Ein herkömmliches Halbleiter-Substratmaterial beinhaltet Silicium (Si), Germanium (Ge), Silicium-Germanium (SiGe), Siliciumcarbid, Verbindungshalbleiter vom Typ III-V, Verbindungshalbleiter vom Typ II-VI sowie Kombinationen und Mehrfachschichten derselben, ist jedoch nicht auf diese beschränkt.
  • Über dem Substrat 10 liegt eine dielektrische Schicht 20. Die dielektrische Schicht 20 kann ein vergrabenes Oxid (BOX) oder ein anderes dielektrisches Material aufweisen. Das BOX-Material kann irgendein herkömmliches Oxidmaterial beinhalten, z.B. Siliciumdioxid (SiO2). Die dielektrische Schicht 20 weist eine typische Dicke von etwa 10 nm bis etwa 500 nm auf. Die dielektrische Schicht 20 kann durch thermisches Oxidieren der freiliegenden Oberfläche des Substrats 10 gebildet werden oder kann unter Verwendung von zum Beispiel chemischer Gasphasenabscheidung (CVD) oder atomarer Schichtabscheidung (ALD) auf dem Substrat abgeschieden werden. Wie er hierin verwendet wird, kann der Begriff „abscheiden“, wenn nicht auf andere Weise angegeben, irgendwelche heutzutage bekannten oder später entwickelten Techniken beinhalten, die für das abzuscheidende Material geeignet sind, sind jedoch nicht beschränkt auf zum Beispiel: chemische Gasphasenabscheidung (CVD), CVD mit einem geringen Druck (LPCVD), plasmaunterstütztes CVD, Semi-Atmosphären-CVD (SACVD) sowie CVD mit einem Plasma hoher Dichte (HDPCVD), schnelles thermisches CVD (RTCVD), Ultrahochvakuum-CVD (UHVCVD), CVD mit einem begrenzten Reaktionsablauf (LRPCVD), metallorganisches CVD (MOCVD), Abscheidung durch Sputtern, lonenstrahl-Abscheidung, Elektronenstrahlabscheidung, laserunterstützte Abscheidung, thermische Oxidation, thermische Nitridierung, Aufschleuder-Verfahren, physikalische Gasphasenabscheidung (PVD), atomare Schichtabscheidung (ALD), chemische Oxidierung, Molekularstrahlepitaxie (MBE), Plattieren, Verdampfung.
  • Über der dielektrischen Schicht 20 liegt eine Silicium-Germanium-Schicht 30. Die Silicium-Germanium-Schicht 30 ist über der dielektrischen Schicht 20 ausgebildet. Die Silicium-Germanium-Schicht 30 ist oben auf der dielektrischen Schicht 20 ausgebildet. Die Silicium-Germanium-Schicht 30 wird entweder mittels Waferbonden gefolgt von einem bekannten Smart-Cut®-Verfahren oder mittels Abscheiden von Silicium-Germanium auf einem SOI-Wafer gefolgt von thermischen Misch- und Kondensationsprozessen gebildet. Der Ge-Gehalt der Silicium-Germanium-Schicht 30 liegt typischerweise in einem Bereich von 5 Atomgewichtsprozent bis
    60 Atomgewichtsprozent, wobei von 25 % bis 50 % bevorzugt sind. Typischerweise weist die gebildete Silicium-Germanium-Schicht 30 eine Dicke in einem Bereich von etwa 6 nm bis etwa 100 nm auf, und bevorzugt in einem Bereich von 10 nm bis 20 nm.
  • Über der Silicium-Germanium-Schicht 30 liegt eine Schicht 40 aus einem spannungsreichen Halbleitermaterial. Die Schicht 40 aus einem spannungsreichen Halbleitermaterial kann Silicium, Silicium-Germanium oder irgendein geeignetes Halbleitermaterial beinhalten. Bei einer Ausführungsform weist die Schicht 40 aus einem spannungsreichen Halbleitermaterial ein epitaxial aufgewachsenes Material, das biaxial zugspannungreiches Si enthält, mit Gitterabmessungen auf, die geringer als die Gitterabmessungen der darunter liegenden Silicium-Germanium-Schicht 30 sind. Die Schicht 40 aus einem spannungsreichen Halbleitermaterial kann bis zu einer Dicke aufgewachsen werden, die geringer als ihre kritische Dicke ist. Typischerweise kann die Schicht 40 aus einem spannungsreichen Halbleitermaterial bis zu einer Dicke aufgewachsen werden, die in einem Bereich von etwa 10 nm bis etwa 100 nm liegt.
  • Nach der Bildung der in 1 dargestellten Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) werden pFET- und nFET-„FinFET“-Einheiten unter Verwendung von herkömmlichen MOSFET-Prozessschritten gebildet, die beinhalten, jedoch nicht beschränkt sind auf: herkömmliche Gate-Oxidations-Vorreinigung und Gate-Dielektrikum-Bildung; Gate-Leiter-Bildung und Strukturierung, Gate-Reoxidation; Bildung von Source- und Drain-Erweiterungen; Bildung von Seitenwand-Abstandshaltern mittels Abscheidung und Ätzen; sowie Source- und Drain-Bildung. Bei einem typischen FinFET-Herstellungsfluss werden zunächst Rippen überall in dem Wafer strukturiert und einzelne Einheiten werden separiert, nachdem der Gate-Stapel, der Abstandshalter sowie die erhöhte Source/Drain-Struktur gebildet wurden. Ausführungsformen der vorliegenden Erfindung modifizieren bestimmte Prozessschritte oder fügen bestimmte Prozessschritte zu einem herkömmlichen MOSFET-Prozessablauf hinzu, wie nachstehend beschrieben. Einige der herkömmlichen MOSFET-Prozessschritte wurden zwecks Klarheit aus dieser Erörterung weggelassen.
  • 2A stellt eine Querschnittsansicht einer nFET-Einheit und einer pFET-Einheit gemäß einer Ausführungsform der vorliegenden Erfindung durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu der Gate-Struktur 50 und der Gate-Struktur 60 (parallel zu Rippen 43 und 45) dar, die aus der in 1 dargestellten Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur) hergestellt wurden.
  • Die Rippen 43 und 45 werden unter Verwendung von Lithographie und Ätzen der Schicht 40 aus einem spannungsreichen Halbleitermaterial und der Silicium-Germanium-Schicht 30 bis hinunter zu der dielektrischen Schicht 20 gebildet. Die Rippe 43 liegt in dem nFET-Bereich, und die Rippe 45 liegt in dem pFET-Bereich. Nach der Bildung beträgt eine Dicke einer exemplarischen Rippe etwa 4 nm bis etwa 20 nm, wobei 6 nm bis 10 nm bevorzugt sind, und eine Höhe einer exemplarischen Rippe beträgt etwa 20 nm bis etwa 100 nm, wobei 30 nm bis 70 nm bevorzugt sind. Die Länge der Rippen kann in einem Bereich von etwa 100 nm bis einigen Mikrometern liegen. Das Gate-Rastermaß kann jedoch 40 nm bis 500 nm betragen. Die Schicht 40 aus einem spannungsreichen Halbleitermaterial und die Silicium-Germanium-Schicht 30 können unter Verwendung einer Trockenätz-Technik geätzt werden (z.B. einer Plasma-Trockenätz-Technik, wie beispielsweise von reaktivem Ionenätzen (RIE) oder dergleichen oder einer Nicht-Plasma-Ätz-Technik unter Verwendung zum Beispiel eines Fluor enthaltenden Gases).
  • Gate-Bereiche in dem nFET-Bereich und in dem pFET-Bereich sind durch eine oder mehrere Schichten definiert, die jeweils quer zu den Rippen 43, 45 gestapelt sind. Bei der Bildung der Gate-Strukturen 50 und 60 werden ein Gate-Dielektrikum-Material und ein Gate-Leiter zwischen und über den Rippen 43 und 45 abgeschieden und dann unter Verwendung von Lithographie- und Ätz-Schritten strukturiert, um Gate-Stapel-Schichten zu definieren (Schichten, welche die Gate-Struktur 50 und die Gate-Struktur 60 bilden), die sich jeweils quer zu den Rippen 43 und 45 erstrecken. Bei einer Ausführungsform können die Gate-Strukturen 50 und 60 wenigstens ein Gate-Dielektrikum-Material und einen Gate-Leiter beinhalten. Das Gate-Dielektrikum-Material kann z.B. Siliciumdioxid, Siliciumoxynitrid, ein Dielektrikum mit einem hohen k etc. beinhalten. Ein Gate-Leiter kann Polysilicium, ein Metall, eine Kombination von beiden etc. beinhalten. Die pFET-Einheit und die nFET-Einheit können nach Wunsch entweder identische oder unterschiedliche Gate-Stapel aufnehmen, um die Schwellenspannung einzustellen (die Rippe 43 liegt in dem nFET-Bereich und die Rippe 45 liegt in dem pFET-Bereich).
  • Auf entgegengesetzten Seiten der Gate-Struktur 50 und der Gate-Struktur 60 werden Abstandshalter 70 gebildet. Die Abstandshalter 70 werden mittels Abscheidung und Strukturieren eines Isolatormaterials auf den Seitenwänden der Gate-Struktur 50 und der Gate-Struktur 60 gebildet. Bei dem Isolatormaterial kann es sich um irgendein dielektrisches Material handeln, das SiN, Si3N4, SiO2, Siliciumkohlenstoffnitrid und dergleichen beinhaltet, das jedoch nicht auf diese beschränkt ist.
  • Nach der Bildung der Gate-Strukturen 50 und 60 sowie der Abstandshalter 70 wird mittels irgendeines geeigneten Prozesses, wie beispielsweise CVD, die Schicht 80 aus einem Zwischenschicht-Dielektrikum (ILD) über der nFET-Einheit und die pFET-Einheit (z.B. über den Gate-Strukturen 50 und 60, über den Abstandshalter 70, über den Rippen 43 und 45, über jeglichen freiliegenden Abschnitten der dielektrischen Schicht 20) gebildet. Die ILD-Schicht 80 weist ein dielektrisches Material auf. Das dielektrische Material kann Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, Spin-on-Glas (SOG), fluoriertes Quarzglas (FSG), mit Kohlenstoff dotiertes Siliciumoxid (z.B. SiCOH), Xerogel, Aerogel, amorpher fluorierter Kohlenstoff, Parylen, BCB (Bis-Benzocyclobutene), Flare, SiLK (Dow Chemical, Midland, Mich.), Polyimid, nichtporöse Materialien, poröse Materialen und/oder Kombinationen derselben aufweisen. Bei einigen Ausführungsformen kann die ILD-Schicht 80 ein dielektrisches Material aus einem Plasma hoher Dichte (HDP) (z.B. ein HDP-Oxid) und/oder ein dielektrisches Material aus einem Prozess mit einem hohen Aspekt-Verhältnis (HARP) (z.B. ein HARP-Oxid) beinhalten. Die ILD-Schicht 80 weist irgendeine geeignete Dicke auf. Bevorzugt weist die ILD-Schicht 80 eine Dicke von etwa auf. Es versteht sich, dass die ILD-Schicht 80 ein dielektrisches Material oder mehrere dielektrische Materialien und/oder eine dielektrische Schicht oder mehrere dielektrische Schichten aufweisen kann.
  • Nachfolgend wird die ILD-Schicht 80 mittels eines chemisch-mechanischen Polier(CMP)-Prozesses planarisiert, bis ein oberer Abschnitt von wenigstens der Gate-Struktur 60 freigelegt ist. Bei einer Ausführungsform ist eine obere Oberfläche der ILD-Schicht 80 koplanar mit einer oberen Oberfläche der Gate-Strukturen 50 und 60 und einer oberen Oberfläche der Abstandshalter 70, wie in 2A dargestellt.
  • 2B stellt eine Querschnittsansicht durch die in 2A gezeigte Schnittlinie 2B-2B, die eine pFET-Einheit zeigt, durch die Gate-Struktur 60 senkrecht zu der Rippe 45 (z.B. der Silicium-Germanium-Schicht 30 und der Schicht 40 aus einem spannungsreichen Halbleitermaterial) gemäß einer Ausführungsform der vorliegenden Erfindung dar. 2B stellt die Gate-Struktur 60 dar, welche die Rippe 45 (z.B. die Silicium-Germanium-Schicht 30 und die Schicht 40 aus einem spannungsreichen Halbleitermaterial) auf drei Seiten umgibt. Die Rippe 45 ist im Moment so gezeigt, dass sie aus der Silicium-Germanium-Schicht 30 und der Schicht 40 aus einem spannungsreichen Halbleitermaterial besteht.
  • 3A zeigt eine Querschnittsansicht der nFET-Einheit und der pFET-Einheit von 2A durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu dem Gate 50 (parallel zu den Rippen 43 und 45), nachdem die Gate-Struktur 60 entfernt wurde.
  • Bei einer Ausführungsform wird die Gate-Struktur 60 unter Verwendung eines Metall-Gate-Ersetzungsprozesses entfernt. Der Entfernungsprozess wird durchgeführt, um die Gate-Struktur 60 zu ätzen und diese vollständig zu entfernen. Wie in 3A gezeigt, bildet die Entfernung der Gate-Struktur 60 einen Gate-Graben zwischen den Seitenwand-Abstandshaltern 70, der einen Abschnitt der Rippe 45 freilegt (z.B. Abschnitte der Schicht 40 aus einem spannungsreichen Halbleitermaterial und der Silicium-Germanium-Schicht 30), der von der Gate-Struktur 60 bedeckt ist. Die dielektrische Schicht 20 wirkt als eine Ätzstoppschicht.
  • Zur Entfernung der Gate-Struktur 60 können herkömmliche Techniken eingesetzt werden. Bei einer Ausführungsform wird eine Maske (nicht gezeigt) über dem nFET-Bereich abgeschieden (z.B. darüber, um die Gate-Struktur 50 zu schützen, während die obere Oberfläche der Gate-Struktur 60 freiliegend bleibt). Bei einer Ausführungsform handelt es sich bei der Maske um eine Nitrid-Hartmaske. Bei verschiedenen Ausführungsformen kann es sich bei der Maske um Siliciumnitrid (SiN) mit einer typischen Dicke von etwa 10 nm handeln, die zum Beispiel unter Verwendung einer chemischen Gasphasenabscheidung mit einem niedrigen Druck (LPCVD) abgeschieden wird. Bei weiteren Ausführungsformen kann es sich bei der Maske um irgendein Maskenmaterial handeln, das während der Entfernung der Gate-Struktur 60 als eine Ätzmaske wirken kann (z.B. ein Nitrid, ein Oxid/Nitrid-Stapel, Titannitrid, Siliciumnitrid, Siliciumdioxid, Siliciumcarbid, Siliciumcarbidnitrid etc.).
  • Bei einer Ausführungsform wird nach dem Schützen der Gate-Struktur 50 die freiliegende Gate-Struktur 60 unter Verwendung eines nasschemischen Ätzvorgangs, wie beispielsweise TMAH, oder eines Trockenätzvorgangs, wie beispielsweise RIE, entfernt, wobei die dielektrische Schicht 20, die Seitenwände der Abstandshalter 70 und ein Abschnitt der Schicht 40 aus einem spannungsreichen Halbleitermaterial sowie ein Abschnitt der Silicium-Germanium-Schicht 30 freigelegt werden. Ein Fachmann erkennt, dass die Art des verwendeten Ätzvorgangs von dem Material abhängig ist, aus dem die Gate-Struktur 60 besteht, es können weitere Ätzprozesse verwendet werden, z.B. ein nasschemischer Ätzvorgang, Laserablation etc.
  • 3B stellt eine Querschnittsansicht durch die in 3A gezeigte Schnittlinie 3B-3B, die eine pFET-Einheit zeigt, durch einen Gate-Graben, der durch die Entfernung der Gate-Struktur 60 gebildet wurde, senkrecht zu der Rippe 45 (z.B. der Silicium-Germanium-Schicht 30 und der Schicht 40 aus einem spannungsreichen Halbleitermaterial) gemäß einer Ausführungsform der vorliegenden Erfindung dar. 3B stellt einen Gate-Graben dar, der durch die Entfernung der Gate-Struktur 60 gebildet wurde. Die Rippe 45 (z.B. die Silicium-Germanium-Schicht 30 und die Schicht 40 aus einem spannungsreichen Halbleitermaterial) liegt nun auf drei Seiten frei. Die Rippe 45 ist im Moment so gezeigt, dass sie aus der Silicium-Germanium-Schicht 30 und der Schicht 40 aus einem spannungsreichen Halbleitermaterial besteht.
  • 4A stellt eine Querschnittsansicht der nFET-Einheit und der pFET-Einheit von 3A durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu der Gate-Struktur 50 (parallel zu den Rippen 43 und 45), nachdem der Abschnitt der Silicium-Germanium-Schicht 30 entfernt wurde, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde, gemäß einer Ausführungsform der vorliegenden Erfindung dar.
  • Bei einer Ausführungsform wird der Abschnitt der Silicium-Germanium-Schicht 30 entfernt, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde. Der Abschnitt der Silicium-Germanium-Schicht 30, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde, kann unter Verwendung von zum Beispiel einem Ätzprozess mit HCI-Gas von unterhalb der Schicht 40 aus einem spannungsreichen Halbleitermaterial entfernt werden. Die Entfernung des Abschnitts der Silicium-Germanium-Schicht 30 legt eine untere Oberfläche des Abschnitts der Schicht 40 aus einem spannungsreichen Halbleitermaterial frei, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde.
  • 4B stellt eine Querschnittsansicht durch die in 4A gezeigte Schnittlinie 4B-4B, die eine pFET-Einheit zeigt, durch den Gate-Graben, nachdem der Abschnitt der Silicium-Germanium-Schicht 30 entfernt wurde, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde, senkrecht zu der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) gemäß einer Ausführungsform der vorliegenden Erfindung dar. 4B stellt den Gate-Graben nach der Entfernung des Abschnitts der Silicium-Germanium-Schicht 30 dar, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde. Die Rippe 45 (z.B. die Schicht 40 aus einem spannungsreichen Halbleitermaterial) liegt nun auf allen vier Seiten frei. Die Rippe 45 ist im Moment so gezeigt, dass sie aus der Schicht 40 aus einem spannungsreichen Halbleitermaterial besteht. Darüber hinaus werden die vier Seiten der Rippe 45 durch die Entfernung des Abschnitts der Silicium-Germanium-Schicht 30 freigelegt, der durch die Entfernung der Gate-Struktur 60 freigelegt wurde, wobei eine Rippe erzeugt wird, die sich durch den Gate-Graben erstreckt.
  • 5 stellt eine Querschnittsansicht der nFET-Einheit und der pFET-Einheit von 4A durch den Bereich zwischen Rippen (in der Kanal-Richtung) senkrecht zu dem Gate 50 (parallel zu den Rippen 43 und 45) nach der Bildung einer Gate-Struktur 90 gemäß einer Ausführungsform der vorliegenden Erfindung dar.
  • Bei einer Ausführungsform weist die Gate-Struktur 90 einen Stapel von Materialien auf, der dem Fachmann bekannt ist, die unter Verwendung von zum Beispiel CVD oder ALD abgeschieden werden, und kann ein dielektrisches Material mit einem hohen k beinhalten. Bei verschiedenen Ausführungsformen beinhaltet die Bildung der Gate-Struktur 90 die Abscheidung eines Gate-Dielektrikum-Materials. Bei einer Ausführungsform besteht das Gate-Dielektrikum-Material aus einem dielektrischen Material mit einem hohen k, das ohne Beschränkung Hafniumdioxid (HfO2), Hafniumsilicate (HfSiOx), Aluminiumoxid (Al2O3), Zirkoniumoxid (ZrO3) oder Lanthanoxid (La2O3) beinhaltet. Das Gate-Dielektrikum-Material wird auf der Oberfläche der ILD-Schicht 80, den freiliegenden Oberseiten und Seitenwandoberflächen der Abstandshalter 70, dem freiliegenden Abschnitt der dielektrischen Schicht 20 sowie den vier Seiten des freiliegenden Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) abgeschieden.
  • Bei einer Ausführungsform beinhaltet die Gate-Struktur 90 ein die Austrittsarbeit einstellendes Metall und ein Gate-Leiter-Material. Bei dem die Austrittsarbeit einstellenden Metall kann es sich um ein Material handeln, wie beispielsweise Titannitrid (TiN) oder Tantalnitrid (TaN), das über dem Gate-Dielektrikum-Material abgeschieden wird. Ein Fachmann erkennt, dass die Auswahl und Verwendung eines die Austrittsarbeit einstellenden Metalls auf den gewünschten elektrischen Eigenschaften der FinFET-Einheit beruht. Das Gate-Leiter-Material wird über dem die Austrittsarbeit einstellende Metall abgeschieden, wobei der Gate-Graben zum Beispiel mit Wolfram (W) oder Aluminium (AI) gefüllt wird. Die verschiedenen Schichten und Materialien der Gate-Struktur 90 sind als Beispiele angegeben. Überschüssige Materialien, die zur Bildung der Gate-Struktur 90 verwendet werden, können von der Oberfläche der ILD-Schicht 80 entfernt werden. Zum Beispiel kann CMP dazu verwendet werden, die überschüssigen Materialien zu entfernen, die zur Bildung der Gate-Struktur 90 verwendet werden, die ein dielektrisches Gate-Material, das die Austrittsarbeit einstellende Metall sowie das Gate-Leiter-Material beinhalten. Das Resultat ist eine Gate-Struktur 90, die unterhalb eines Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) vergraben ist und diesen auf vier Seiten umgibt.
  • Bei einer weiteren Ausführungsform beinhaltet die Gate-Struktur 90 einen Abschnitt aus einem fließfähigen Oxid. Der Abschnitt aus einem fließfähigen Oxid ist zwischen der dielektrischen Schicht 20 und einer unteren Oberfläche des freiliegenden Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) angeordnet. Bei einer Ausführungsform kann es sich bei dem fließfähigen Oxid um irgendeinen Typ von fließfähigem Oxid handeln, das in der Lage ist, den Boden des Gate-Grabens bis zu der Höhe der unteren Oberfläche des freiliegenden Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) zu füllen. Das Resultat ist die Gate-Struktur 90 mit einem Abschnitt (einem fließfähigen Oxid), der (das) unterhalb eines Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) vergraben ist, und einem weiteren Abschnitt, der den Abschnitt der Rippe 45 auf drei Seiten umgibt.
  • 5B stellt eine Querschnittsansicht durch die in 5A gezeigte Schnittlinie 5B-5B, die eine pFET-Einheit darstellt, durch den Gate-Graben nach der Bildung der Gate-Struktur 90 senkrecht zu der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) gemäß einer Ausführungsform der vorliegenden Erfindung dar. 5B stellt den Gate-Graben nach der Bildung der Gate-Struktur 90 dar. Die Rippe 45 (z.B. die Schicht 40 aus einem spannungsreichen Halbleitermaterial) ist nun auf allen vier Seiten von der Gate-Struktur 90 umgeben.
  • Die Gate-Struktur 90 weist einen Abschnitt (ein fließfähiges Oxid), der unterhalb eines Abschnitts der Rippe 45 (z.B. der Schicht 40 aus einem spannungsreichen Halbleitermaterial) vergraben ist, sowie einen weiteren Abschnitt auf, der den Abschnitt der Rippe 45 auf drei Seiten umgibt.

Claims (18)

  1. Verfahren zum Herstellen einer Halbleiter-Einheit, das aufweist: Bereitstellen einer Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur), wobei die SSOI-Struktur wenigstens ein Substrat (10), eine auf dem Substrat angeordnete dielektrische Schicht (20), eine auf der dielektrischen Schicht angeordnete Silicium-Germanium-Schicht (30) sowie eine direkt auf der Silicium-Germanium-Schicht angeordnete Schicht (40) aus einem spannungsreichen Halbleitermaterial aufweist; Bilden einer Mehrzahl von Rippen auf der SSOI-Struktur, indem die Schicht aus einem spannungsreichen Halbleitermaterial und die Silicium-Germanium-Schicht bis herunter zu der dielektrischen Schicht geätzt werden, wobei sich wenigstens eine Rippe (43) der Mehrzahl von Rippen in einem nFET-Bereich der SSOI-Struktur befindet und sich wenigstens eine Rippe (45) der Mehrzahl von Rippen in einem pFET-Bereich der SSOI-Struktur befindet; Bilden einer ersten Gate-Struktur (50) über einem ersten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem nFET-Bereich; Bilden einer zweiten Gate-Struktur (60) über einem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die zweite Gate-Struktur den zweiten Abschnitt auf drei Seiten umgibt; Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich; Entfernen der Silicium-Germanium-Schicht, die durch Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt freiliegt; und Bilden einer dritten Gate-Struktur (90) über dem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich derart, dass die dritte Gate-Struktur den zweiten Abschnitt auf allen vier Seiten umgibt, wobei die dritte Gate-Struktur einen Abschnitt aus einem fließfähigen Oxid aufweist, wobei der Abschnitt aus einem fließfähigen Oxid zwischen der dielektrischen Schicht und einer unteren Oberfläche des zweiten Abschnitts der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich angeordnet ist.
  2. Verfahren nach Anspruch 1, wobei ein Germanium-Gehalt der Silicium-Germanium-Schicht in einem Bereich von 5 Atomgewichtsprozent bis 60 Atomgewichtsprozent liegt.
  3. Verfahren nach Anspruch 1, wobei ein Germanium-Gehalt der Silicium-Germanium-Schicht in einem Bereich von 25 Atomgewichtsprozent bis 50 Atomgewichtsprozent liegt.
  4. Verfahren nach Anspruch 1, wobei die Silicium-Germanium-Schicht eine Dicke in einem Bereich von etwa 5 nm bis etwa 100 nm aufweist.
  5. Verfahren nach Anspruch 1, wobei die Silicium-Germanium-Schicht eine Dicke in einem Bereich von etwa 10 nm bis 20 nm aufweist.
  6. Verfahren nach Anspruch 1, wobei die Silicium-Germanium-Schicht mittels eines Wafer-Bond-Prozesses auf der dielektrischen Schicht angeordnet wird.
  7. Verfahren nach Anspruch 1, wobei das Substrat und die dielektrische Schicht Komponenten eines Silicium-auf-Isolator(SOI)-Substrats sind und wobei die Silicium-Germanium-Schicht durch Abscheiden von Silicium-Germanium auf dem SOI-Substrat gefolgt von thermischen Misch- und Kondensationsprozessen gebildet wird.
  8. Verfahren nach Anspruch 1, wobei die dielektrische Schicht ein vergrabenes Oxid (BOX) aufweist.
  9. Verfahren nach Anspruch 1, wobei die dielektrische Schicht Siliciumdioxid aufweist.
  10. Verfahren nach Anspruch 1, wobei das Entfernen der Silicium-Germanium-Schicht, die durch Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt freigelegt wurde, aufweist: Ätzen der Silicium-Germanium-Schicht, die durch Entfernen der zweiten Gate-Struktur über dem zweiten Abschnitt freigelegt wurde, unter Verwendung eines Ätzvorgangs mit HCI-Gas.
  11. Verfahren nach Anspruch 1, wobei das Substrat eines oder mehrere der folgenden aufweist: Silicium; Germanium; Silicium-Germanium; Siliciumcarbid; Verbindungshalbleiter vom III-V-Typ; Verbindungshalbleiter vom II-VI-Typ; sowie Kombinationen und Mehrfachschichten derselben.
  12. Verfahren nach Anspruch 1, wobei die Mehrzahl von Rippen eine Dicke in einem Bereich von etwa 6 nm bis etwa 10 nm aufweist.
  13. Verfahren nach Anspruch 1, wobei die Mehrzahl von Rippen eine Höhe in einem Bereich von 30 nm bis 70 nm aufweist.
  14. Halbleiter-Einheit, die aufweist: eine Struktur aus einem spannungsreichen Silicium auf einem Isolator (SSOI-Struktur), wobei die SSOI-Struktur wenigstens ein Substrat (10), eine auf dem Substrat angeordnete dielektrische Schicht (20), eine auf der dielektrischen Schicht angeordnete Silicium-Germanium-Schicht (30) sowie eine direkt auf der Silicium-Germanium-Schicht angeordnete Schicht (40) aus einem spannungsreichen Halbleitermaterial aufweist; eine Mehrzahl von Rippen auf der SSOI-Struktur, die in der Schicht aus einem spannungsreichen Halbleitermaterial und der Silicium-Germanium-Schicht darunter ausgebildet ist, wobei sich wenigstens eine (43) Rippe der Mehrzahl von Rippen in einem nFET-Bereich der SSOI-Struktur befindet und sich wenigstens eine Rippe (45) der Mehrzahl von Rippen in einem pFET-Bereich der SSOI-Struktur befindet; eine erste Gate-Struktur (50) über einem ersten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem nFET-Bereich, wobei die erste Gate-Struktur den ersten Abschnitt auf drei Seiten umgibt und wobei die Silicium-Germanium-Schicht zwischen der dielektrischen Schicht und einer unteren Oberfläche des ersten Abschnitts angeordnet ist; und eine zweite Gate-Struktur (90) über einem zweiten Abschnitt der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich, wobei die zweite Gate-Struktur den zweiten Abschnitt auf allen vier Seiten umgibt, wobei die zweite Gate-Struktur einen Abschnitt aus einem fließfähigen Oxid aufweist, wobei der Abschnitt aus einem fließfähigen Oxid zwischen der dielektrischen Schicht und einer unteren Oberfläche des zweiten Abschnitts der wenigstens einen Rippe der Mehrzahl von Rippen in dem pFET-Bereich angeordnet ist.
  15. Halbleiter-Einheit nach Anspruch 14, wobei ein Germanium-Gehalt der Silicium-Germanium-Schicht in einem Bereich von 5 Atomgewichtsprozent bis 60 Atomgewichtsprozent liegt.
  16. Halbleiter-Einheit nach Anspruch 14, wobei ein Germanium-Gehalt der Silicium-Germanium-Schicht in einem Bereich von 25 Atomgewichtsprozent bis 50 Atomgewichtsprozent liegt.
  17. Halbleiter-Einheit nach Anspruch 14, wobei die erste Gate-Struktur und die zweite Gate-Struktur jeweils wenigstens ein Gate-Dielektrikum-Material und einen Gate-Leiter aufweisen.
  18. Halbleiter-Einheit nach Anspruch 17, wobei das Gate-Dielektrikum-Material Siliciumdioxid, Siliciumoxynitrid oder ein Dielektrikum mit einem hohen k ist; und wobei der Gate-Leiter Polysilicium oder ein Metall ist.
DE112016000183.5T 2015-01-13 2016-01-04 Spannungslösung in PFET-Bereichen Active DE112016000183B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/595,316 US9543323B2 (en) 2015-01-13 2015-01-13 Strain release in PFET regions
US14/595,316 2015-01-13
PCT/IB2016/050018 WO2016113640A1 (en) 2015-01-13 2016-01-04 Strain release in pfet regions

Publications (2)

Publication Number Publication Date
DE112016000183T5 DE112016000183T5 (de) 2017-08-24
DE112016000183B4 true DE112016000183B4 (de) 2020-09-10

Family

ID=56368077

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016000183.5T Active DE112016000183B4 (de) 2015-01-13 2016-01-04 Spannungslösung in PFET-Bereichen

Country Status (6)

Country Link
US (3) US9543323B2 (de)
JP (1) JP6708838B2 (de)
CN (1) CN107210225B (de)
DE (1) DE112016000183B4 (de)
GB (1) GB2550740B (de)
WO (1) WO2016113640A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102219678B1 (ko) * 2014-08-12 2021-02-25 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
US9748236B1 (en) * 2016-02-26 2017-08-29 Globalfoundries Inc. FinFET device with enlarged channel regions
US9704863B1 (en) * 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
EP3340308B1 (de) 2016-12-22 2022-09-07 IMEC vzw Verfahren zur herstellung von transistoren auf einem substrat
US10229856B2 (en) * 2017-05-16 2019-03-12 International Business Machines Corporation Dual channel CMOS having common gate stacks
US10304947B1 (en) * 2017-11-30 2019-05-28 International Business Machines Corporation Smoothing surface roughness of III-V semiconductor fins formed from silicon mandrels by regrowth
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10699967B2 (en) 2018-06-28 2020-06-30 International Business Machines Corporation Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
US20070152277A1 (en) * 2004-09-16 2007-07-05 Fujitsu Limited MOS field-effect transistor and manufacturing method thereof
US20070190787A1 (en) * 2006-02-10 2007-08-16 Stmicroelectronics S.A. Method for etching silicon-germanium in the presence of silicon
US20140084342A1 (en) * 2012-09-27 2014-03-27 Annalisa Cappellani Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US20140197377A1 (en) * 2011-12-23 2014-07-17 Seiyon Kim Cmos nanowire structure

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7262087B2 (en) 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7282402B2 (en) 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7575975B2 (en) 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US20070117311A1 (en) 2005-11-23 2007-05-24 Advanced Technology Development Facility, Inc. Three-dimensional single transistor semiconductor memory device and methods for making same
JP4310399B2 (ja) * 2006-12-08 2009-08-05 株式会社東芝 半導体装置及びその製造方法
US7524740B1 (en) 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US8169025B2 (en) 2010-01-19 2012-05-01 International Business Machines Corporation Strained CMOS device, circuit and method of fabrication
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
CN102790004B (zh) 2011-05-16 2014-06-11 中国科学院上海微系统与信息技术研究所 一种全隔离混合晶向soi的制备方法
US9087687B2 (en) * 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
DE112011106031B4 (de) * 2011-12-23 2018-12-06 Intel Corporation Einaxial gespannte Nanodrahtstrukturen
US8946063B2 (en) 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US8957478B2 (en) * 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
US9236474B2 (en) * 2014-02-21 2016-01-12 Stmicroelectronics, Inc. Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US9287386B2 (en) * 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072130A1 (en) * 2000-08-16 2002-06-13 Zhi-Yuan Cheng Process for producing semiconductor article using graded expital growth
US20070152277A1 (en) * 2004-09-16 2007-07-05 Fujitsu Limited MOS field-effect transistor and manufacturing method thereof
US20070190787A1 (en) * 2006-02-10 2007-08-16 Stmicroelectronics S.A. Method for etching silicon-germanium in the presence of silicon
US20140197377A1 (en) * 2011-12-23 2014-07-17 Seiyon Kim Cmos nanowire structure
US20140084342A1 (en) * 2012-09-27 2014-03-27 Annalisa Cappellani Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates

Also Published As

Publication number Publication date
WO2016113640A1 (en) 2016-07-21
US9761610B2 (en) 2017-09-12
US20170053943A1 (en) 2017-02-23
GB2550740A (en) 2017-11-29
GB2550740B (en) 2020-05-20
JP2018506174A (ja) 2018-03-01
JP6708838B2 (ja) 2020-06-10
GB201712260D0 (en) 2017-09-13
DE112016000183T5 (de) 2017-08-24
US20160359003A1 (en) 2016-12-08
US9966387B2 (en) 2018-05-08
US9543323B2 (en) 2017-01-10
CN107210225A (zh) 2017-09-26
CN107210225B (zh) 2020-07-07
US20160204131A1 (en) 2016-07-14

Similar Documents

Publication Publication Date Title
DE112016000183B4 (de) Spannungslösung in PFET-Bereichen
DE102018218518B4 (de) Epitaktische Strukturen in komplementären Feldeffekttransistoren
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102019116861B4 (de) Verfahren zum Strukturieren von Finnen und integrierte Schaltkreis-Vorrichtung mit benachbarten FinFET-Vorrichtungen
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
CN110504215B (zh) 混合半导体晶体管结构与制造方法
DE102018200041B4 (de) Bildung von Diffusionsunterbrechung nach Bildung von Source/Drain
DE112018001814B4 (de) Vertikaltransport-finnen-feldeffekttransistoren mit unterschiedlichen kanallängen und verfahren zu ihrer herstellung
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102015112604B4 (de) Verfahren für die Herstellung eines Halbleiterbauelements
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102015112913A1 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102015218015A1 (de) Verfahren zur Herstellung von Halbleiterstrukturen umfassend Transistorkanäle mit unterschiedlichen Spannungszuständen und verwandte Halbleiterstrukturen
DE102021110539A1 (de) Selfausrichtendes metall-gate für eine multi-gate-vorrichtung und verfahren zu dessen herstellung
DE102017116224A1 (de) Metall-Gate-Struktur und zugehörige Verfahren
DE102020129561A1 (de) Verfahren zum abstimmen von schwellenspannungen vontransistoren
DE102019131057A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102016204992B4 (de) Nano-Streifen-Kanal-Transistor mit Back-Bias-Steuerung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021823800

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final