JP2018506174A - 半導体デバイスを製作するための方法および半導体デバイス - Google Patents

半導体デバイスを製作するための方法および半導体デバイス Download PDF

Info

Publication number
JP2018506174A
JP2018506174A JP2017531719A JP2017531719A JP2018506174A JP 2018506174 A JP2018506174 A JP 2018506174A JP 2017531719 A JP2017531719 A JP 2017531719A JP 2017531719 A JP2017531719 A JP 2017531719A JP 2018506174 A JP2018506174 A JP 2018506174A
Authority
JP
Japan
Prior art keywords
silicon
gate structure
fins
layer
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017531719A
Other languages
English (en)
Other versions
JP6708838B2 (ja
Inventor
ドリス、ブルース
リム、カーン
レズニチェク、アレクサンダー
ル、ダーセン、デュエイン
カーキフィルーズ、アリ
チョン、カングオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2018506174A publication Critical patent/JP2018506174A/ja
Application granted granted Critical
Publication of JP6708838B2 publication Critical patent/JP6708838B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】歪みシリコン・オン・インシュレータ(SSOI)基板上にfinFETデバイスの形成の方法を提供。【解決手段】半導体デバイスを製作するための方法は、SSOI構造を用意するステップであって、SSOI構造は、基板上に配設される誘電体層と、誘電体層上に配設されるシリコン・ゲルマニウム層と、シリコン・ゲルマニウム層上に直接配設される歪み半導体材料層とを備える、用意するステップと、複数のフィンをSSOI構造上に形成するステップと、ゲート構造を、nFET領域内の少なくとも1つのフィンの部分の上方に形成するステップと、ゲート構造を、pFET領域内の少なくとも1つのフィンの部分の上方に形成するステップと、pFET領域内の少なくとも1つのフィンの部分の上方のゲート構造を除去するステップと、その除去により露出されるシリコン・ゲルマニウム層を除去するステップと、新しいゲート構造が、pFET領域内の少なくとも1つのフィンの部分の四方すべてを包囲するように、その部分の上方に新しいゲート構造を形成するステップとを含む。【選択図】図2

Description

本発明は、一般的には半導体デバイスに関し、より詳細には、pFET領域での歪み解放を伴う、nFET領域に対して歪みシリコン・オン・インシュレータ(SSOI)基板を利用することに関する。
相補型金属酸化物半導体デバイス(CMOS)は、シリコンまたはシリコン・オン・インシュレータ(SOI)基板上に配置構成される、p型およびn型の金属酸化物半導体電界効果トランジスタ(MOSFET)の、相補型で対称に向きを定められる対を使用する。論理機能のための電子信号を増幅またはスイッチするために使用されるMOSFETは、チャネルにより接続されるソース領域およびドレイン領域を有する。ソース領域は、多数電荷キャリア(電子または正孔)の形式での電流がチャネルに進入する際に通る端子であり、ドレイン領域は、多数電荷キャリアの形式での電流がチャネルを離脱する際に通る端子である。p型MOSFET(本明細書では以降、「pFET」)では多数電荷キャリアは、チャネルを通って流れる正孔であり、n型MOSFET(本明細書では以降、「nFET」)では多数電荷キャリアは、チャネルを通って流れる電子である。ゲートは、チャネルの上方にあり、ソース領域とドレイン領域との間の電流の流れを制御する。チャネルは、2つ以上の表面を提供する、薄い「フィン」により画定され得るものであり、そのフィンによって、ゲートは、電流の流れを制御し、そのことにより、pFETおよびnFETを「finFET」デバイスにする。一般的にはフィンの長さは、幅より数桁大きい。
pFETおよびnFETの製作で使用される基板は、歪みシリコン・オン・インシュレータ(SSOI)基板を備え得る。そのような基板は一般的には、数ギガ・パスカル(GPa)の内在引っ張り応力を有し、そのことは一般的には、電子移動度を改善し、そのことにより、デバイス性能を改善する。これらの基板での歪みは、チャネルの長さおよび幅が、典型的なプレーナMOSFETのものと比較して短い、短チャネルfinFETデバイスにおいてでさえ、静電特性での悪化を伴わない、デバイス性能での改善を考えに入れたものである。
これに対して、SSOI基板での大域的な内在応力が、あらかじめ決定された最大値(例えば、約1GPaより大きい)を上回る時、pFET finFETデバイスの性能は、8から15%だけ損なわれ得る。これは、SSOI基板での引っ張り応力の存在下での、正孔移動度悪化の帰結である。したがって、pFETデバイスのチャネルでの引っ張り応力を緩和すること、および、それらの性能をSOI基板レベルに改善/復元することが望ましい。これが実現され得るとき、nFETデバイスは、相補型pFETデバイスを悪化させることなく、より高い性能を有するように製造され得る。
本発明は、歪みシリコン・オン・インシュレータ(SSOI)基板上にfinFETデバイスの形成の方法および構造を提供する。
本発明の好ましい実施形態は、半導体デバイスを製作するための方法であって、歪みシリコン・オン・インシュレータ(SSOI)構造を用意するステップであって、SSOI構造は、少なくとも基板と、基板上に配設される誘電体層と、誘電体層上に配設されるシリコン・ゲルマニウム層と、シリコン・ゲルマニウム層上に直接配設される歪み半導体材料層とを備える、用意するステップを含む、方法に関する。方法は、歪み半導体材料層、および下のシリコン・ゲルマニウム層を誘電体層までエッチングすることにより、複数のフィンをSSOI構造上に形成するステップであって、複数のフィンのうちの少なくとも1つのフィンは、SSOI構造のnFET領域内にあり、複数のフィンのうちの少なくとも1つのフィンは、SSOI構造のpFET領域内にある、形成するステップをさらに含む。方法は、第1のゲート構造を、nFET領域内の複数のフィンのうちの少なくとも1つのフィンの第1の部分の上方に形成するステップをさらに含む。方法は、第2のゲート構造が、pFET領域内の複数のフィンのうちの少なくとも1つのフィンの第2の部分を三方から包囲するように、第2のゲート構造を第2の部分の上方に形成するステップをさらに含む。方法は、pFET領域内の複数のフィンのうちの少なくとも1つのフィンの第2の部分の上方の第2のゲート構造を除去するステップをさらに含む。方法は、第2の部分の上方の第2のゲート構造を除去することにより露出されるシリコン・ゲルマニウム層を除去するステップをさらに含む。方法は、第3のゲート構造が、pFET領域内の複数のフィンのうちの少なくとも1つのフィンの第2の部分の四方すべてを包囲するように、第3のゲート構造を第2の部分の上方に形成するステップをさらに含む。
本発明の別の好ましい実施形態は、半導体デバイスであって、歪みシリコン・オン・インシュレータ(SSOI)構造であって、SSOI構造は、少なくとも基板と、基板上に配設される誘電体層と、誘電体層上に配設されるシリコン・ゲルマニウム層と、シリコン・ゲルマニウム層上に直接配設される歪み半導体材料層とを備える、SSOI構造を有する、半導体デバイスに関する。半導体デバイスは、歪み半導体材料層、および下のシリコン・ゲルマニウム層に形成される、SSOI構造上の複数のフィンであって、複数のフィンのうちの少なくとも1つのフィンは、SSOI構造のnFET領域内にあり、複数のフィンのうちの少なくとも1つのフィンは、SSOI構造のpFET領域内にある、複数のフィンをさらに含む。半導体デバイスは、nFET領域内の複数のフィンのうちの少なくとも1つのフィンの第1の部分の上方の第1のゲート構造であって、第1のゲート構造は、第1の部分を三方から包囲し、シリコン・ゲルマニウム層は、誘電体層と、第1の部分の下部表面との間に配設される、第1のゲート構造をさらに含む。半導体デバイスは、pFET領域内の複数のフィンのうちの少なくとも1つのフィンの第2の部分の上方の第2のゲート構造であって、第2のゲート構造は、第2の部分の四方すべてを包囲する、第2のゲート構造をさらに含む。
以下の詳細な説明は、例として与えられ、本開示を単にその説明に限定することを意図されないものであり、付随する図面との連関で、最も良好に、十分認識されるであろう。
本発明の1つの実施形態による、歪みシリコン・オン・インシュレータ(SSOI)構造の断面視図である。 図1で図示される歪みシリコン・オン・インシュレータ(SSOI)構造から製作された、nFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、ゲート構造に直角に(フィンに平行に)図示する図である。 pFETデバイスを、ゲート構造を通して、フィンに直角に図示する、図2で示される断面線2B−2Bを通して得られる断面視図である。 pFETデバイスのゲート構造が除去された後の、nFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、nFETデバイスのゲート構造に直角に(フィンに平行に)図示する図である。 pFETデバイスを、ゲート構造の除去により形成されるゲート・トレンチを通して、フィンに直角に図示する、図4で示される断面線3B−3Bを通して得られる断面視図である。 pFETデバイスのゲート構造の除去により露出されるシリコン・ゲルマニウム層の部分が除去された後の、図4のnFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、nFETデバイスのゲート構造に直角に(フィンに平行に)図示する図である。 pFETデバイスを、ゲート構造の除去により露出されるシリコン・ゲルマニウム層の部分が除去された後のゲート・トレンチを通して、フィンに直角に図示する、図6で示される断面線4B−4Bを通して得られる断面視図である。 pFETデバイスでの新しいゲート構造の形成の後の、図6のnFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、nFETデバイスのゲート構造に直角に(フィンに平行に)図示する図である。 pFETデバイスを、新しいゲート構造の形成の後のゲート・トレンチを通して、フィンに直角に図示する、図8で示される断面線5B−5Bを通して得られる断面視図である。
請求される構造および方法の詳細な実施形態が本明細書で開示されるが、開示される実施形態は単に、様々な形式で具現化され得る、請求される構造および方法を説明するためのものであると理解されるべきである。加えて、様々な実施形態とともに与えられる例の各々は、説明のためのものであることが意図されており、制約的であることは意図されていない。さらに図は、必ずしも一定の縮尺ではなく、一部の特徴が、個別の構成要素の詳細を示すために大きく見せられることがある。したがって、本明細書で開示される特定の構造的および機能的な詳細を、限定的とではなく、単に当業者に、本開示の方法および構造を様々に用いることを教示するための代表的な基本原理と解釈すべきである。
「1つの実施形態」、「実施形態」、「例の実施形態」、その他への本明細書での言及は、説明する実施形態は、個別の特徴、構造、または特性を含み得るが、あらゆる実施形態が、必ずしもそれらの個別の特徴、構造、または特性を含み得るわけではないということを指し示すものである。さらにそのような語句は、必ずしも同じ実施形態に言及しているわけではない。さらに、個別の特徴、構造、または特性が実施形態とともに説明される時、そのような特徴、構造、または特性を、明示的に説明されるか否かを問わず、他の実施形態とともに好んで用いることは、当業者の知識の範囲内のことであるということを提言する。
本明細書の以降の説明の目的で、用語「上側」、「下側」、「右」、「左」、「垂直」、「水平」、「上部」、「下部」、およびそれらの派生語は、描かれた図において向きを定められるように、開示される本発明に関係するものとする。用語「上方にある」、「下方にある」、「頂部の」、「上部上の」、「上に定置される」、または「頂部に定置される」は、第1の構造などの第1の要素が、第2の構造などの第2の要素上に存在するということを意味し、境界面構造などの介在要素が、第1の要素と第2の要素との間に存在することがある。用語「直接接触」は、第1の構造などの第1の要素、および、第2の構造などの第2の要素が、2つの要素の境界面で、何らかの中間の導電層、絶縁層、または半導体層を伴わずに接続されるということを意味する。
本明細書で説明するような回路は、集積回路チップに対する設計の一部分であり得る。チップ設計は、グラフィカル・コンピュータ・プログラミング言語で創出され、コンピュータ・ストレージ・メディア(ディスク、テープ、物理ハード・ドライブ、または、ストレージ・アクセス・ネットワークなどにおける仮想ハード・ドライブなど)に記憶され得る。設計者が、チップ、または、チップを製作するために使用されるフォトリソグラフィック・マスクを製作しないとき、設計者は、結果として生じる設計を、物理手段により(例えば、設計を記憶するストレージ・メディアのコピーを提供することにより)、または電子的に(例えば、インターネットを介して)、そのようなエンティティに、直接、または間接的に送り届けることがある。記憶された設計は次いで、フォトリソグラフィック・マスクの製作のために、適切なフォーマット(例えば、GDSII)に変換され、それらのフォトリソグラフィック・マスクは典型的には、ウェハ上に形成されることになる、当のチップ設計の複数個のコピーを含む。フォトリソグラフィック・マスクは、エッチングされる、または他の形で処理されることになる、ウェハ(または、そのウェハ上の層、あるいはその両方)の区域を画定するために利用される。
本明細書で説明するような方法は、集積回路チップの製作で使用され得る。結果として生じる集積回路チップは、製作者により、ベア・ダイとして、未加工ウェハ形式で(すなわち、複数個のパッケージ化されていないチップを有する、単一のウェハとして)、または、パッケージ化された形式で流通させられ得る。後者の事例ではチップは、シングル・チップ・パッケージ(マザーボード、または他の、より高いレベルのキャリアに付着させられるリードを伴う、プラスチック・キャリアなど)に、または、マルチチップ・パッケージ(表面相互接続または埋め込み相互接続の、いずれかまたは両方を有するセラミック・キャリアなど)に装着される。いずれの事例でも、チップは次いで、(a)マザーボードなどの中間製品、または(b)最終製品のいずれかの一部分として、他のチップ、ディスクリート回路要素、または他の信号処理デバイス、あるいはその組合せとともに集積させられる。最終製品は、玩具および他の低価格帯応用例から、ディスプレイ、キーボードまたは他の入力デバイス、および中央プロセッサを有する先進的なコンピュータ製品の範囲にわたる、集積回路チップを含む任意の製品であり得る。
本発明の一部の実施形態は、FinFET性能増大のために、歪みチャネル材料が必要とされるということを認識する。SSOI基板を開始ウェハとして使用することが、歪みフィンをもたらすことになる。引っ張り歪みフィン(SSOI)は、nFETデバイスに対して有益であるが、pFETデバイスに対しては有益でない。したがって、pFETフィンでの引っ張り歪みを解放する必要性が存する。
本発明の実施形態は一般的には、nFETデバイスに対する引っ張り歪みフィン、および、pFETデバイスに対する緩和フィンを有する、歪みシリコン・オン・インシュレータ(SSOI)基板上のfinFETデバイスの形成の構造および方法を提供する。構造は、pFET領域内のフィンの下方の犠牲SiGe層を選択的にエッチングすることにより形成され得る。
ここで本発明を、図を参照して詳細に説明する。
図1は、本発明の1つの実施形態による、歪みシリコン・オン・インシュレータ(SSOI)構造の断面視図を図示する。1つの実施形態ではSSOI構造は、SSOI前駆構造(SSOI precursor)上に構築されるfinFET構造を含む実施形態に対しては、基板10と、誘電体層20と、シリコン・ゲルマニウム層30と、歪み半導体材料層40とを含む。別の実施形態では、基板10、誘電体層20、およびシリコン・ゲルマニウム層30は、熱混合シリコン・ゲルマニウム・オン・インシュレータ(TMSGOI:Thermally Mixed Silicon Germanium on Insulator)基板、または、歪みシリコン・ゲルマニウム・オン・インシュレータ(SSGOI:strained silicon germanium on insulator)基板により置換され得る。
基板10は、任意の従来の半導体基板材料から構成される。従来の半導体基板材料は、シリコン(Si)、ゲルマニウム(Ge)、シリコン・ゲルマニウム(SiGe)、炭化ケイ素、III−V型(type III-V)化合物半導体、II−VI型(type II-VI)化合物半導体、ならびに、それらの組合せおよび多層を含むが、それらに限定されない。
基板10の上方にあるのは、誘電体層20である。誘電体層20は、埋め込み酸化物(BOX:buried oxide)、または他の誘電体材料を含み得る。BOX材料は、任意の従来の酸化物材料、例えば二酸化ケイ素(SiO)を含み得る。誘電体層20は、約10nmから約500nmの典型的な厚さを有する。誘電体層20は、基板10の露出される表面を熱酸化することにより形成され得るものであり、または、基板10上に、例えば、化学気相堆積(CVD)もしくは原子層堆積(ALD)を使用して堆積させられ得る。本明細書では、別段の注記がない限り、用語「堆積させること」は、材料が堆積させられるのに適切な、任意の今知られている、または後に開発される技法を含み得るものであり、それらの技法は、例えば、化学気相堆積(CVD)、減圧CVD(LPCVD)、プラズマ強化CVD(PECVD)、準常圧CVD(SACVD:semi-atmosphere CVD)および高密度プラズマCVD(HDPCVD)、急速熱CVD(RTCVD)、超高真空CVD(UHVCVD)、制限反応処理CVD(LRPCVD:limited reaction processing CVD)、有機金属CVD(MOCVD)、スパッタリング堆積、イオン・ビーム堆積、電子ビーム堆積、レーザ支援堆積(laser assisted deposition)、熱酸化、熱窒化、スピン・オン法、物理気相堆積(PVD)、原子層堆積(ALD)、化学酸化、分子ビーム・エピタキシ(MBE)、めっき、蒸着を含むが、それらに限定されない。
誘電体層20の上方にあるのは、シリコン・ゲルマニウム層30である。シリコン・ゲルマニウム層30は、誘電体層20の上方に形成される。シリコン・ゲルマニウム層30は、誘電体層20の頂部に形成される。シリコン・ゲルマニウム層30は、知られているsmart cut(R)プロセスが後に続くウェハ・ボンディング、または、熱混合および凝縮(condensation)プロセスが後に続く、シリコン・ゲルマニウムをSOIウェハ上に堆積させること、のいずれかにより形成される。シリコン・ゲルマニウム層30のGe含有量は、典型的には、原子量%単位で、5%から60%の範囲にわたり、25%から50%が好ましい。典型的には、形成されるシリコン・ゲルマニウム層30は、約6nmから約100nmの範囲にわたる、好ましくは、10nmから20nmの範囲にわたる厚さを有する。
シリコン・ゲルマニウム層30の上方にあるのは、歪み半導体材料層40である。歪み半導体材料層40は、シリコン、シリコン・ゲルマニウム、または任意の適した半導体材料を含み得る。1つの実施形態では歪み半導体材料層40は、下方にあるシリコン・ゲルマニウム層30の格子寸法より少ない格子寸法を有する、エピタキシャル成長させられた2軸の引っ張り歪みSi含有材料を含む。歪み半導体材料層40は、その臨界厚さより少ない厚さに成長させられ得る。典型的には歪み半導体材料層40は、約10nmから約100nmの範囲にわたる厚さに成長させられ得る。
図1で図示される歪みシリコン・オン・インシュレータ(SSOI)構造の形成に続いて、pFETおよびnFET「finFET」デバイスが、従来のMOSFET処理ステップを使用して形成され、それらのステップは、従来のゲート酸化プレ・クリーンおよびゲート誘電体形成、ゲート導体形成およびパターニング、ゲート再酸化、ソースおよびドレイン・エクステンション形成、堆積およびエッチングによる側壁スペーサ形成、ならびに、ソースおよびドレイン形成を含むが、それらに限定されない。典型的なFinFET製作の流れでは、フィンは最初に、ウェハの全体を通してパターニングされ、個々のデバイスは、一旦ゲート・スタック、スペーサ、および、隆起したソース/ドレイン構造が形成されると、分離される。本発明の実施形態は、下記で説明するように、従来のMOSFET処理に対する所定の処理ステップを変更または追加する。従来のMOSFET処理ステップの一部は、明瞭性のために本論考から除かれている。
図2は、本発明の1つの実施形態による、図1で図示される歪みシリコン・オン・インシュレータ(SSOI)構造から製作された、nFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、ゲート構造50およびゲート構造60に直角に(フィン43および45に平行に)図示する。
フィン43および45は、リソグラフィを使用し、歪み半導体材料層40、および下のシリコン・ゲルマニウム層30を誘電体層20までエッチングすることにより、歪み半導体材料層40に形成される。フィン43はnFET領域内にあり、フィン45はpFET領域内にある。一旦形成されると、例示的なフィンの厚さは、約4nmから約20nmであり、6〜10nmが好ましく、例示的なフィンの高さは、約20nmから約100nmであり、30〜70が好ましい。フィンの長さは、約100nmから数ミクロンの範囲にわたることがある。これに対してゲート・ピッチは、40nmから500nmであることがある。歪み半導体材料層40およびシリコン・ゲルマニウム層30は、ドライ・エッチ技法(例えば、反応性イオン・エッチング(RIE)もしくは類するものなどのプラズマ・ドライ・エッチング、または、例えばフッ素含有ガスを使用する非プラズマ・エッチング技法)を使用してエッチングされ得る。
nFET領域内、およびpFET領域内のゲート領域は、それぞれフィン43および45に対して横方向に積層される1つまたは複数の層により画定される。ゲート構造50および60を形成する際に、ゲート誘電体材料およびゲート導体が、フィン43と45との間、およびそれらのフィンの上方に堆積させられ、次いで、それぞれフィン43および45に対して横方向に延在するゲート・スタック層(ゲート構造50およびゲート構造60を成り立たせる層)を画定するために、リソグラフィおよびエッチ・ステップを使用してパターニングされる。1つの実施形態ではゲート構造50および60は、少なくともゲート誘電体材料およびゲート導体を含み得る。ゲート誘電体材料は例えば、二酸化ケイ素、酸窒化ケイ素、高誘電率(high-k)誘電体、その他を含み得る。ゲート導体は、ポリシリコン、金属、両方の組合せ、その他を含み得る。pFETおよびnFETデバイスは、しきい値電圧を設定するために、所望に応じて、同一の、さもなければ異なる、ゲート・スタックを受け取り得る(フィン43はnFET領域内にあり、フィン45はpFET領域内にある)。
スペーサ70は、ゲート構造50およびゲート構造60の反対位置の関係にある側に形成される。スペーサ70は、ゲート構造50およびゲート構造60の側壁上での、インシュレータ材料の堆積およびパターニングにより形成される。インシュレータ材料は、SiN、Si、SiO、窒化炭素ケイ素、および類するものを含むが、それらに限定されない、任意の誘電体材料であり得る。
一旦ゲート構造50および60、ならびにスペーサ70が形成されると、層間誘電体(ILD:inter-layer dielectric)層80が、nFETデバイスおよびpFETデバイスの上方に(例えば、ゲート構造50および60の上方に、スペーサ70の上方に、フィン43および45の上方に、誘電体層20の何らかの露出される部分の上方に)、CVDなどの任意の適したプロセスにより形成される。ILD層80は、誘電体材料を含む。誘電体材料は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、スピン・オン・ガラス(SOG)、フッ素化シリカ・ガラス(FSG:fluorinated silica glass)、炭素ドープ酸化ケイ素(例えば、SiCOH)、キセロゲル、エアロゲル、非晶質フッ素化炭素、Parylene(R)、BCB(bis−ベンゾシクロブテン)、Flare、SiLK(ダウ・ケミカル、ミッドランド、ミシガン州)、ポリイミド、非多孔質材料、多孔質材料、またはそれらの組合せ、あるいはその組合せを含み得る。一部の実施形態ではILD層80は、高密度プラズマ(HDP)誘電体材料(例えば、HDP酸化物)、または高アスペクト比プロセス(HARP)誘電体材料(例えば、HARP酸化物)、あるいはその両方を含み得る。ILD層80は、任意の適した厚さを含む。好ましくは、ILD層80は、約の厚さを含む。ILD層80は、1つもしくは複数の誘電体材料、または、1つもしくは複数の誘電体層、あるいはその両方を含み得るということが理解される。
その後ILD層80は、化学機械研磨(CMP)プロセスにより、少なくともゲート構造60の上部部分が露出されるまで平坦化される。1つの実施形態では、ILD層80の上部表面は、図2で図解されるように、ゲート構造50および60の上部表面、ならびに、スペーサ70の上部表面と同一面である。
図3は、本発明の1つの実施形態による、pFETデバイスを、ゲート構造60を通して、フィン45(例えば、シリコン・ゲルマニウム層30および歪み半導体材料層40)に直角に図示する、図2で示される断面線2B−2Bを通して得られる断面視図を図示する。図3は、フィン45(例えば、シリコン・ゲルマニウム層30および歪み半導体材料層40)を三方から包囲するゲート構造60を図示する。フィン45は、現在は、シリコン・ゲルマニウム層30および歪み半導体材料層40から構成されるように示される。
図4は、ゲート構造60が除去された後の、図2のnFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、ゲート構造50に直角に(フィン43および45に平行に)図示する。
1つの実施形態ではゲート構造60は、置換金属ゲート・プロセスを使用して除去される。除去プロセスは、ゲート構造60をエッチングし、それを完全に除去するために実行される。図4で示されるように、ゲート構造60の除去は、ゲート構造60により被覆されるフィン45の部分(例えば、歪み半導体材料層40およびシリコン・ゲルマニウム層30の部分)を露出させる、側壁スペーサ70の間のゲート・トレンチを形成する。誘電体層20は、エッチ停止層として働く。
従来の技法が、ゲート構造60を除去するために用いられ得る。1つの実施形態では、マスク(示されない)が、nFET領域の上方に(例えば、ゲート構造50を保護し、一方で、ゲート構造60の上部表面を露出されたままにするために、上方に)堆積させられる。1つの実施形態ではマスクは、窒化物ハードマスクである。様々な実施形態ではマスクは、例えば減圧化学気相堆積(LPCVD)を使用して堆積させられる、約10nmの典型的な厚さを伴う窒化ケイ素(SiN)であり得る。他の実施形態ではマスクは、ゲート構造60の除去の間にエッチ・マスクとして働き得る任意のマスク材料(例えば、窒化物、酸化物/窒化物スタック、窒化チタン、窒化ケイ素、二酸化ケイ素、炭化ケイ素、炭化窒化ケイ素、その他)であり得る。
1つの実施形態では、ゲート構造50を保護した後、露出されるゲート構造60が、誘電体層20、スペーサ70の側壁、および、歪み半導体材料層40の部分、および、シリコン・ゲルマニウム層30の部分を露出させる、TMAHなどのウェット化学エッチ、または、RIEなどのドライ・エッチを使用して除去される。当業者であれば、使用されるエッチングのタイプは、ゲート構造60が構成される材料によって決まることになり、他のエッチ・プロセス、例えばウェット化学エッチ、レーザ・アブレーション、その他が使用され得るということを認識するであろう。
図5は、本発明の1つの実施形態による、pFETデバイスを、ゲート構造60の除去により形成されるゲート・トレンチを通して、フィン45(例えば、シリコン・ゲルマニウム層30および歪み半導体材料層40)に直角に図示する、図4で示される断面線3B−3Bを通して得られる断面視図を図示する。図5は、ゲート構造60の除去により形成されるゲート・トレンチを図示する。フィン45(例えば、シリコン・ゲルマニウム層30および歪み半導体材料層40)は今や、三方に露出される。フィン45は、現在は、シリコン・ゲルマニウム層30および歪み半導体材料層40から構成されるように示される。
図6は、本発明の1つの実施形態による、ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分が除去された後の、図4のnFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、ゲート構造50に直角に(フィン43および45に平行に)図示する。
1つの実施形態では、ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分が除去される。ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分は、歪み半導体材料層40の下の方から、例えばHClガス・エッチ・プロセスを使用して除去され得る。シリコン・ゲルマニウム層30の部分の除去が、ゲート構造60の除去により露出される歪み半導体材料層40の部分の下部表面を露出させる。
図7は、本発明の1つの実施形態による、pFETデバイスを、ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分が除去された後のゲート・トレンチを通して、フィン45(例えば、歪み半導体材料層40)に直角に図示する、図6で示される断面線4B−4Bを通して得られる断面視図を図示する。図7は、ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分が除去された後のゲート・トレンチを図示する。フィン45(例えば、歪み半導体材料層40)は今や、四方すべてに露出される。フィン45は今や、歪み半導体材料層40から構成されるように示される。加えてフィン45の4面が、ゲート構造60の除去により露出されるシリコン・ゲルマニウム層30の部分の除去により露出され、そのことが、ゲート・トレンチを通って延在するフィンを創出する。
図8は、本発明の1つの実施形態による、ゲート構造90の形成の後の、図6のnFETデバイスおよびpFETデバイスの断面視図を、(チャネル方向での)フィンの間の領域を通して、ゲート構造50に直角に(フィン43および45に平行に)図示する。
1つの実施形態ではゲート構造90は、例えばCVDまたはALDを使用して堆積させられる、当業者に知られている材料のスタックを備え、高誘電率誘電体材料を含み得る。様々な実施形態では、ゲート構造90の形成は、ゲート誘電体材料の堆積を含む。1つの実施形態ではゲート誘電体材料は、限定を伴わずに、二酸化ハフニウム(HfO)、ケイ酸ハフニウム(HfSiO)、酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、または酸化ランタン(La)を含む、高誘電率誘電体材料から構成される。ゲート誘電体材料は、ILD層80の表面、スペーサ70の露出される上部および側壁表面、誘電体層20の露出される部分、ならびに、フィン45(例えば、歪み半導体材料層40)の露出される部分の四方に堆積させられる。
1つの実施形態ではゲート構造90は、仕事関数設定金属(workfunction setting metal)と、ゲート導体材料とを含む。仕事関数設定金属は、ゲート誘電体材料の上方に堆積させられる、窒化チタン(TiN)または窒化タンタル(TaN)などの材料であり得る。仕事関数設定金属の選択および使用は、finFETデバイスの所望の電気特性に基づくということが、当業者により十分認識されるはずである。ゲート導体材料は、仕事関数設定金属の上方に堆積させられ、ゲート・トレンチを、例えばタングステン(W)またはアルミニウム(Al)で充塞する。ゲート構造90の様々な層および材料は、例として提示され、限定的であるようには定められない。ゲート構造90を形成するために使用される過剰な材料は、ILD層80の表面から除去され得る。例えばCMPが、ゲート誘電体材料と、仕事関数設定金属と、ゲート導体材料とを含む、ゲート構造90を形成するために使用される過剰な材料を除去するために使用され得る。結果は、フィン45(例えば、歪み半導体材料層40)の部分の下の方に埋められ、そのフィン45の部分を四方から包囲する、ゲート構造90である。
別の実施形態ではゲート構造90は、流動性酸化物(flowable oxide)部分を含む。流動性酸化物部分は、誘電体層20と、フィン45(例えば、歪み半導体材料層40)の露出される部分の下部表面との間に配設される。1つの実施形態では流動性酸化物は、ゲート・トレンチの下部を、フィン45(例えば、歪み半導体材料層40)の露出される部分の下部表面の高さまで充塞する能力のある、任意のタイプの流動性酸化物であり得る。結果は、フィン45(例えば、歪み半導体材料層40)の部分の下の方に埋められる部分(流動性酸化物)と、フィン45の部分を三方から包囲する別の部分とを伴う、ゲート構造90である。
図9は、本発明の1つの実施形態による、pFETデバイスを、ゲート構造90の形成の後のゲート・トレンチを通して、フィン45(例えば、歪み半導体材料層40)に直角に図示する、図8で示される断面線5B−5Bを通して得られる断面視図を図示する。図9は、ゲート構造90の形成の後のゲート・トレンチを図示する。フィン45(例えば、歪み半導体材料層40)は今や、ゲート構造90により四方すべてを包囲される。別の実施形態ではゲート構造90は、フィン45(例えば、歪み半導体材料層40)の部分の下の方に埋められる部分(流動性酸化物)と、フィン45の部分を三方から包囲する別の部分とを有する。

Claims (20)

  1. 半導体デバイスを製作するための方法であって、
    歪みシリコン・オン・インシュレータ(SSOI)構造を用意するステップであって、前記SSOI構造は、少なくとも基板と、前記基板上に配設される誘電体層と、前記誘電体層上に配設されるシリコン・ゲルマニウム層と、前記シリコン・ゲルマニウム層上に直接配設される歪み半導体材料層とを備える、前記用意するステップと、
    前記歪み半導体材料層、および下の前記シリコン・ゲルマニウム層を前記誘電体層までエッチングすることにより、複数のフィンを前記SSOI構造上に形成するステップであって、前記複数のフィンのうちの少なくとも1つのフィンは、前記SSOI構造のnFET領域内にあり、前記複数のフィンのうちの少なくとも1つのフィンは、前記SSOI構造のpFET領域内にある、前記形成するステップと、
    第1のゲート構造を、前記nFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの第1の部分の上方に形成するステップと、
    第2のゲート構造が前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの第2の部分を三方から包囲するように、前記第2のゲート構造を前記第2の部分の上方に形成するステップと、
    前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの前記第2の部分の上方の前記第2のゲート構造を除去するステップと、
    前記第2の部分の上方の前記第2のゲート構造を除去することにより露出される前記シリコン・ゲルマニウム層を除去するステップと、
    第3のゲート構造が、前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの前記第2の部分の四方すべてを包囲するように、前記第3のゲート構造を前記第2の部分の上方に形成するステップと
    を含む、方法。
  2. 前記シリコン・ゲルマニウム層のゲルマニウム含有量は、原子量パーセント単位で、5%から60%の範囲にある、請求項1に記載の方法。
  3. 前記シリコン・ゲルマニウム層のゲルマニウム含有量は、原子量パーセント単位で、25%から50%の範囲にある、請求項1に記載の方法。
  4. 前記シリコン・ゲルマニウム層は、約5nmから約100nmの範囲にある厚さを有する、請求項1に記載の方法。
  5. 前記シリコン・ゲルマニウム層は、約10nmから20nmの範囲にある厚さを有する、請求項1に記載の方法。
  6. 前記シリコン・ゲルマニウム層は、前記誘電体層上に、ウェハ・ボンディング・プロセスにより配設される、請求項1に記載の方法。
  7. 前記基板および前記誘電体層は、シリコン・オン・インシュレータ(SOI)基板の構成要素であり、前記シリコン・ゲルマニウム層は、熱混合および凝縮プロセスが後に続く、シリコン・ゲルマニウムを前記SOI基板上に堆積させることにより形成される、請求項1に記載の方法。
  8. 前記誘電体層は、埋め込み酸化物(BOX)を含む、請求項1に記載の方法。
  9. 前記誘電体層は、二酸化ケイ素を含む、請求項1に記載の方法。
  10. 前記第2の部分の上方の前記第2のゲート構造を除去することにより露出される前記シリコン・ゲルマニウム層を除去する前記ステップは、
    前記第2の部分の上方の前記第2のゲート構造を除去することにより露出される前記シリコン・ゲルマニウム層を、HClガス・エッチを使用してエッチングするステップ
    を含む、請求項1に記載の方法。
  11. 前記基板は、以下のもの、すなわち、シリコン、ゲルマニウム、シリコン・ゲルマニウム、炭化ケイ素、III−V型化合物半導体、II−VI型化合物半導体、ならびに、それらの組合せおよび多層のうちの1つまたは複数を含む、請求項1に記載の方法。
  12. 前記複数のフィンは、約6nmから約10nmの範囲にある厚さを有する、請求項1に記載の方法。
  13. 前記複数のフィンは、30nmから70nmの範囲にある高さを有する、請求項1に記載の方法。
  14. 前記第3のゲート構造は、流動性酸化物部分を含み、前記流動性酸化物部分は、前記誘電体層と、前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの前記第2の部分の下部表面との間に配設される、請求項1に記載の方法。
  15. 半導体デバイスであって、
    歪みシリコン・オン・インシュレータ(SSOI)構造であって、少なくとも基板と、前記基板上に配設される誘電体層と、前記誘電体層上に配設されるシリコン・ゲルマニウム層と、前記シリコン・ゲルマニウム層上に直接配設される歪み半導体材料層とを備える、前記SSOI構造と、
    前記歪み半導体材料層、および下の前記シリコン・ゲルマニウム層に形成される、前記SSOI構造上の複数のフィンであって、前記複数のフィンのうちの少なくとも1つのフィンは、前記SSOI構造のnFET領域内にあり、前記複数のフィンのうちの少なくとも1つのフィンは、前記SSOI構造のpFET領域内にある、前記複数のフィンと、
    前記nFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの第1の部分の上方の第1のゲート構造であって、前記第1の部分を三方から包囲し、前記シリコン・ゲルマニウム層が、前記誘電体層と、前記第1の部分の下部表面との間に配設される、前記第1のゲート構造と、
    前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの第2の部分の上方の第2のゲート構造であって、前記第2の部分の四方すべてを包囲する、前記第2のゲート構造と
    を備える、半導体デバイス。
  16. 前記第2のゲート構造は、流動性酸化物部分を含み、前記流動性酸化物部分は、前記誘電体層と、前記pFET領域内の前記複数のフィンのうちの前記少なくとも1つのフィンの前記第2の部分の下部表面との間に配設される、請求項15に記載の半導体デバイス。
  17. 前記シリコン・ゲルマニウム層のゲルマニウム含有量は、原子量パーセント単位で、5%から60%の範囲にある、請求項15に記載の半導体デバイス。
  18. 前記シリコン・ゲルマニウム層のゲルマニウム含有量は、原子量パーセント単位で、25%から50%の範囲にある、請求項15に記載の半導体デバイス。
  19. 前記第1のゲート構造および前記第2のゲート構造は各々、少なくともゲート誘電体材料およびゲート導体を備える、請求項15に記載の半導体デバイス。
  20. 前記ゲート誘電体材料は、二酸化ケイ素、酸窒化ケイ素、または高誘電率誘電体であり、
    前記ゲート導体は、ポリシリコン、または金属である、
    請求項19に記載の半導体デバイス。
JP2017531719A 2015-01-13 2016-01-04 半導体デバイスを製作するための方法および半導体デバイス Active JP6708838B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/595,316 US9543323B2 (en) 2015-01-13 2015-01-13 Strain release in PFET regions
US14/595,316 2015-01-13
PCT/IB2016/050018 WO2016113640A1 (en) 2015-01-13 2016-01-04 Strain release in pfet regions

Publications (2)

Publication Number Publication Date
JP2018506174A true JP2018506174A (ja) 2018-03-01
JP6708838B2 JP6708838B2 (ja) 2020-06-10

Family

ID=56368077

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017531719A Active JP6708838B2 (ja) 2015-01-13 2016-01-04 半導体デバイスを製作するための方法および半導体デバイス

Country Status (6)

Country Link
US (3) US9543323B2 (ja)
JP (1) JP6708838B2 (ja)
CN (1) CN107210225B (ja)
DE (1) DE112016000183B4 (ja)
GB (1) GB2550740B (ja)
WO (1) WO2016113640A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102219678B1 (ko) * 2014-08-12 2021-02-25 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
US9748236B1 (en) * 2016-02-26 2017-08-29 Globalfoundries Inc. FinFET device with enlarged channel regions
US9704863B1 (en) * 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
EP3340308B1 (en) 2016-12-22 2022-09-07 IMEC vzw Method for forming transistors on a substrate
US10229856B2 (en) * 2017-05-16 2019-03-12 International Business Machines Corporation Dual channel CMOS having common gate stacks
US10304947B1 (en) * 2017-11-30 2019-05-28 International Business Machines Corporation Smoothing surface roughness of III-V semiconductor fins formed from silicon mandrels by regrowth
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10699967B2 (en) 2018-06-28 2020-06-30 International Business Machines Corporation Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004507084A (ja) * 2000-08-16 2004-03-04 マサチューセッツ インスティテュート オブ テクノロジー グレーデッドエピタキシャル成長を用いた半導体品の製造プロセス
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7227205B2 (en) 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
JP4888118B2 (ja) * 2004-09-16 2012-02-29 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US7262087B2 (en) 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7282402B2 (en) 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7575975B2 (en) 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US20070117311A1 (en) 2005-11-23 2007-05-24 Advanced Technology Development Facility, Inc. Three-dimensional single transistor semiconductor memory device and methods for making same
US7776745B2 (en) * 2006-02-10 2010-08-17 Stmicroelectronics S.A. Method for etching silicon-germanium in the presence of silicon
JP4310399B2 (ja) * 2006-12-08 2009-08-05 株式会社東芝 半導体装置及びその製造方法
US7524740B1 (en) 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US8169025B2 (en) 2010-01-19 2012-05-01 International Business Machines Corporation Strained CMOS device, circuit and method of fabrication
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
CN102790004B (zh) 2011-05-16 2014-06-11 中国科学院上海微系统与信息技术研究所 一种全隔离混合晶向soi的制备方法
DE112011106004B4 (de) * 2011-12-23 2017-07-13 Intel Corporation Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
US9087687B2 (en) * 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
DE112011106031B4 (de) * 2011-12-23 2018-12-06 Intel Corporation Einaxial gespannte Nanodrahtstrukturen
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8946063B2 (en) 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US8957478B2 (en) * 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
US9236474B2 (en) * 2014-02-21 2016-01-12 Stmicroelectronics, Inc. Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US9287386B2 (en) * 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9543323B2 (en) * 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions

Also Published As

Publication number Publication date
WO2016113640A1 (en) 2016-07-21
US9761610B2 (en) 2017-09-12
US20170053943A1 (en) 2017-02-23
GB2550740A (en) 2017-11-29
GB2550740B (en) 2020-05-20
JP6708838B2 (ja) 2020-06-10
GB201712260D0 (en) 2017-09-13
DE112016000183T5 (de) 2017-08-24
DE112016000183B4 (de) 2020-09-10
US20160359003A1 (en) 2016-12-08
US9966387B2 (en) 2018-05-08
US9543323B2 (en) 2017-01-10
CN107210225A (zh) 2017-09-26
CN107210225B (zh) 2020-07-07
US20160204131A1 (en) 2016-07-14

Similar Documents

Publication Publication Date Title
JP6708838B2 (ja) 半導体デバイスを製作するための方法および半導体デバイス
CN110690216B (zh) 制造半导体器件的方法和半导体器件
CN108431953B (zh) 垂直晶体管制造和器件
CN106992124B (zh) 半导体装置的形成方法
US20200152761A1 (en) Formation of air gap spacers for reducing parasitic capacitance
KR101709395B1 (ko) 반도체 디바이스 및 반도체 디바이스 제조 방법
CN111128887B (zh) 形成半导体器件的方法
US10811410B2 (en) Simultaneously fabricating a high voltage transistor and a FinFET
CN110957316A (zh) 半导体装置
US11973077B2 (en) Semiconductor device and manufacturing method thereof
TWI762159B (zh) 形成半導體電晶體元件之方法與半導體元件
US9177956B2 (en) Field effect transistor (FET) with self-aligned contacts, integrated circuit (IC) chip and method of manufacture
US9034748B2 (en) Process variability tolerant hard mask for replacement metal gate finFET devices
US9559018B2 (en) Dual channel finFET with relaxed pFET region
JP2022552850A (ja) 選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法
TWI787787B (zh) 半導體電晶體裝置及形成半導體電晶體裝置的方法
TW202119641A (zh) 半導體裝置
US9685501B2 (en) Low parasitic capacitance finFET device
US11637101B2 (en) Semiconductor device and manufacturing method thereof
TW202213522A (zh) 半導體裝置結構
US20230223305A1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170724

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180907

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190724

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20191113

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191113

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20191113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20191114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200326

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20200326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200330

R150 Certificate of patent or registration of utility model

Ref document number: 6708838

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150