DE102020128765A1 - Phasenwechselspeichervorrichtung und verfahren - Google Patents

Phasenwechselspeichervorrichtung und verfahren Download PDF

Info

Publication number
DE102020128765A1
DE102020128765A1 DE102020128765.8A DE102020128765A DE102020128765A1 DE 102020128765 A1 DE102020128765 A1 DE 102020128765A1 DE 102020128765 A DE102020128765 A DE 102020128765A DE 102020128765 A1 DE102020128765 A1 DE 102020128765A1
Authority
DE
Germany
Prior art keywords
layer
bit line
word line
mask
pcm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020128765.8A
Other languages
English (en)
Inventor
Tung Ying Lee
Shao-Ming Yu
Yu Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020128765A1 publication Critical patent/DE102020128765A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/068Shaping switching materials by processes specially adapted for achieving sub-lithographic dimensions, e.g. using spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • G11C13/0026Bit-line or column circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Noodles (AREA)
  • Bipolar Transistors (AREA)

Abstract

In einer Ausführungsform weist eine Vorrichtung auf: eine erste Metallisierungsschicht über einem Substrat, wobei das Substrat aktive Vorrichtungen aufweist; eine erste Bit-Leitung über der ersten Metallisierungsschicht, wobei die erste Bit-Leitung mit ersten Interconnects der ersten Metallisierungsschicht verbunden ist, sich die erste Bit-Leitung in einer ersten Richtung erstreckt, und die erste Richtung parallel zu Gates der aktiven Vorrichtungen verläuft; eine erste Phasenwechsel-Direktzugriffsspeicherzelle (PCRAM-Zelle) über der ersten Bit-Leitung; eine Wortleitung über der ersten PCRAM-Zelle, wobei sich die Wortleitung in einer zweiten Richtung erstreckt, und die zweite Richtung lotrecht zu den Gates der aktiven Vorrichtungen verläuft; und eine zweite Metallisierungsschicht über der Wortleitung, wobei die Wortleitung mit zweiten Interconnects der zweiten Metallisierungsschicht verbunden ist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/001,944 , eingereicht am 30. März 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • STAND DER TECHNIK
  • Halbleiterspeicher werden in integrierten Schaltungen für elektronische Anwendungen, wie zum Beispiel Radios, Fernseher, Mobiltelefone und Personalcomputervorrichtungen, verwendet. Eine Art von Halbleiterspeicher ist der Phasenwechsel-Direktzugriffsspeicher (PCRAM), welcher das Speichern von Werten in Phasenwechselmaterialien, wie zum Beispiel Chalkogenidmaterialien, umfasst. Phasenwechselmaterialien können zwischen einer amorphen Phase (in welcher sie einen niedrigen spezifischen Widerstand aufweisen) und einer kristallinen Phase (in welcher sie einen hohen spezifischen Widerstand aufweisen) umgeschaltet werden, um Bit-Codes anzuzeigen. Typischerweise weist eine PCRAM-Zelle ein Phasenwechselmaterialelement (PCM-Element) zwischen zwei Elektroden auf.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Blockdiagramm einer Halbleitervorrichtung im Einklang mit einigen Ausführungsformen.
    • 2 ist eine Querschnittsansicht einer Halbleitervorrichtung im Einklang mit einigen Ausführungsformen.
    • Die 3 bis 21B sind verschiedene Ansichten von Zwischenstadien der Herstellung einer Halbleitervorrichtung im Einklang mit einigen Ausführungsformen.
    • 22 ist eine Querschnittsansicht einer Halbleitervorrichtung im Einklang mit einigen anderen Ausführungsformen.
    • Die 23A bis 23E sind dreidimensionale Ansichten von Zwischenstadien eines selbstausrichtenden Strukturierungsprozesses zum Bilden von PCRAM-Zellen im Einklang mit einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt zahlreiche verschiedene Ausführungsformen, oder Beispiele, zum Umsetzen verschiedener Merkmale der Erfindung bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich nur um Beispiele, welche keinesfalls als Einschränkung auszulegen sind. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, kann jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „darunter“, „unterhalb“, „niedrig“, „oberhalb“, „obere/r/s“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) angeordnet sein, und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden.
  • Im Einklang mit einigen Ausführungsformen werden PCRAM-Zellen auf eine selbstausrichtende Art und Weise mit mehreren Strukturierungsprozessen gebildet. Die PCRAM-Zellen können somit mit einem kleineren Abstandsmaß und mit kleineren kritischen Abmessungen gebildet werden. Dadurch kann die Leistung und die Dichte der PCRAM verbessert werden.
  • 1 ist ein Blockdiagramm einer Halbleitervorrichtung 50 im Einklang mit einigen Ausführungsformen. Die Halbleitervorrichtung 50 weist eine PCRAM-Anordnung 52, einen Zeilendecoder 54 und einen Spaltendecoder 56 auf. Die PCRAM-Anordnung 52 weist PCRAM-Zellen 58 angeordnet in Zeilen und Spalten auf. Die Zeilendecoder 54 kann zum Beispiel ein statischer CMOS-Decoder, ein Pseudo-NMOS-Decoder oder dergleichen sein. Im Betrieb wählt der Zeilendecoder 54 gewünschte PCRAM-Zellen 58 in einer Zeile der PCRAM-Anordnung 52 durch Aktivieren der entsprechenden Wortleitung 62 für die Zeile aus. Der Spaltendecoder 56 kann zum Beispiel ein statischer CMOS-Decoder, ein Pseudo-NMOS-Decoder oder dergleichen sein, und kann Writer-Treiber, Leseverstärker, Kombinationen davon oder dergleichen aufweisen. Im Betrieb wählt der Spaltendecoder 56 Bitleitungen 66 für die gewünschten PCRAM-Zellen 58 aus Spalten der PCRAM-Anordnung 52 in der gewählten Zeile aus, und liest Daten von oder schreibt Daten in die ausgewählten PCRAM-Zellen 58 mit den Bitleitungen 66.
  • Obwohl Ausführungsformen hierin im Zusammenhang mit PCRAMs beschrieben werden, versteht sich, das ähnliche Techniken auch in anderen Speichern, welche programmierbare Widerstandselemente verwenden, angewendet werden können. Zum Beispiel könnten ähnliche Techniken verwendet werden, um magnetoresistive Direktzugriffsspeicher (MRAMs), resistive Direktzugriffsspeicher (RRAMs), Speicher mit einer Selektorstruktur und dergleichen herzustellen.
  • 2 ist eine Querschnittsansicht einer Halbleitervorrichtung 50 im Einklang mit einigen Ausführungsformen. 2 ist eine vereinfachte Ansicht, und einige Merkmale der Halbleitervorrichtung 50 (nachfolgend erörtert) sind für eine klarere Darstellung weggelassen worden. Die Halbleitervorrichtung 50 weist einen Logikbereich 50L und einen Speicherbereich 50M auf. Speichervorrichtungen (zum Beispiel PCRAMs) werden im Speicherbereich 50M gebildet, und Logikvorrichtungen (zum Beispiel Logikschaltungen) werden im Logikbereich 50L gebildet. Zum Beispiel kann die PCRAM-Anordnung 52 (siehe 1) im Speicherbereich 50M gebildet werden, und der Zeilendecoder 54 und der Spaltendecoder 56 (siehe 1) können im Logikbereich 50L gebildet werden. Der Logikbereich 50L kann den Großteil der Fläche der Halbleitervorrichtung 50 einnehmen. Zum Beispiel kann der Logikbereich 50L zwischen 95 % und 99 % der Fläche der Halbleitervorrichtung 50 einnehmen, während der Speicherbereich 50M die verbleibende Fläche der Halbleitervorrichtung 50 einnimmt. Der Speicherbereich 50M kann an einem Rand des Logikbereichs 50L angeordnet sein, oder der Logikbereich 50L kann den Speicherbereich 50M umgeben.
  • Der Logikbereich 50L und der Speicherbereich 50M werden über einem selben Substrat, zum Beispiel einem Halbleitersubstrat 70, gebildet. Das Halbleitersubstrat 70 kann Silizium, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats) sein. Das Halbleitersubstrat 70 kann andere Halbleitermaterialien, wie zum Beispiel Germanium; einen Verbindungshalbleiter, wie zum Beispiel Siliziumkarbid, Gallium-Arsen, Galliumphosphid, Galliumnitrid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie zum Beispiel SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon, enthalten. Andere Substrate, wie zum Beispiel mehrschichtige oder Gradientensubstrate, können ebenfalls verwendet werden.
  • Die Vorrichtungen 72 werden an der aktiven Fläche des Halbleitersubstrats 70 (zum Beispiel der nach oben zeigenden Fläche in 2) gebildet. Die Vorrichtungen 72 können aktive Vorrichtungen oder passive Vorrichtungen sein. Zum Beispiel können die elektrischen Komponenten Transistoren, Dioden, Kondensatoren, Widerstände oder dergleichen, gebildet durch ein beliebiges geeignetes Bildungsverfahren sein. Die Vorrichtungen 72 werden zusammengeschaltet, um die Speichervorrichtungen und logischen Vorrichtungen der Halbleitervorrichtung 50 zu bilden. Zum Beispiel können einige der Vorrichtungen 72 Zugriffstransistoren für die PCRAM-Zellen 58 sein.
  • Eine oder mehrere dielektrische Zwischenschichten (ILD-Schichten) 74 werden am Halbleitersubstrat 70 gebildet, und elektrisch leitende Merkmale, wie zum Beispiel Kontaktanschlüsse 76, werden physisch gebildet und elektrisch mit den Vorrichtungen 72 gekoppelt. Die ILD-Schicht(en) (74) kann/können aus einem beliebigen geeigneten dielektrischen Material, zum Beispiel einem Oxid, wie zum Beispiel Siliziumoxid, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG) oder dergleichen; einem Nitrid, wie zum Beispiel Siliziumnitrid; oder dergleichen gebildet werden. Die ILD-Schicht(en) kann/können durch einen beliebigen geeigneten Abscheidungsprozess, wie zum Beispiel Rotationsbeschichtung, physikalischer Aufdampfung (PVD), chemischer Dampfabscheidung (CVD), dergleichen oder einer Kombination daraus, gebildet werden. Die elektrisch leitenden Merkmale in der/den ILD-Schicht(en) können durch einen beliebigen geeigneten Prozess, wie zum Beispiel Abscheidung, Damaszener (zum Beispiel Einfachdamaszener Doppeldamaszener, etc.), dergleichen oder Kombinationen davon, gebildet werden.
  • Eine Interconnect-Struktur 78 wird über dem Halbleitersubstrat 70, zum Beispiel über den/den ILD-Schicht(en) 74, gebildet. Die Interconnect-Struktur 78 schaltet die Vorrichtungen 72 zusammen, um integrierte Schaltungen sowohl im Logikbereich 50L als auch im Speicherbereich 50M zu bilden. Die Interconnect-Struktur 78 weist mehrere Metallisierungsschichten M1 - M6 auf. Obwohl sechs Metallisierungsschichten dargestellt sind, versteht sich, dass die Struktur auch mehr oder weniger Metallisierungsschichten aufweisen kann. Jede der Metallisierungsschichten M1 - M6 weist Metallisierungsstrukturen in dielektrischen Schichten auf. Die Metallisierungsstrukturen werden elektrisch mit den Vorrichtungen 72 des Halbleitersubstrats 70 gekoppelt, und weisen jeweils eine Metallleitung L1 - L6 und eine Durchkontaktierung V1 - V6 gebildet in einer oder mehreren dielektrischen Zwischenmetallschichten (IMD-Schichten) auf. Die Interconnect-Struktur 78 kann durch einen Damaszenerprozess, wie zum Beispiel einen Einfachdamaszenerprozess, einen Doppeldamaszenerprozess oder dergleichen, gebildet werden. In einigen Ausführungsformen bilden die Kontaktanschlüsse 76 ebenfalls einen Bestandteil der Metallisierungsstrukturen, wie zum Beispiel einen Bestandteil der untersten Schicht der Metalldurchkontaktierungen Vi.
  • Die PCRAM-Zellen 58 der PCRAM-Anordnung 52 (siehe 1) werden in der Interconnect-Struktur 78 gebildet. Die PCRAM-Zellen 58 können in einer beliebigen der Metallisierungsschichten M1 - M6 gebildet werden, und sind dargestellt, als wären sie in einer Zwischenmetallisierungsschicht M5 gebildet. Jede PCRAM-Zelle 58 weist eine Bodenelektrode 82, ein PCM-Element 84 an der Bodenelektrode 82 und eine Topelektrode 86 am PCM-Element 84 auf. Wortleitungen 62 erstrecken sich entlang jeweiliger Zeilen der PCRAM-Zellen 58 und sind mit den Topelektroden 86 der jeweiligen Zeilen der PCRAM-Zellen 58 verbunden. Bit-Leitungen 66 erstrecken sich entlang jeweiliger Spalten der PCRAM-Zellen 58 und sind mit den Bodenelektroden 82 der jeweiligen Spalten der PCRAM-Zellen 58 verbunden. Eine oder mehrere zusätzliche IMD-Schichten 88 können rund um die PCRAM-Zellen 58 gebildet werden. Die IMD Schicht(en) 88 umgibt/umgeben und schützt/schützen die Komponenten der PCRAM-Zellen 58. Der Widerstand eines PCM-Elements 84 ist programmierbar und kann zwischen einem hohen Widerstand (RAP), welcher einen Code, wie zum Beispiel eine „1“, bedeuten kann, und einem niedrigen Widerstand (Rp), welcher einen Code, wie zum Beispiel eine „0“, bedeuten kann, gewechselt werden. Folglich kann ein Code in eine PCRAM-Zelle 58 geschrieben werden, indem der Widerstand seines PCM-Elements 84 mit seinem entsprechenden Zugriffstransistor programmiert wird, und ein Code kann aus einer PCRAM-Zelle 58 gelesen werden, indem der Widerstand seines PCM-Elements 84 mit seinem entsprechenden Zugriffstransistor gemessen wird.
  • Die PCRAM-Zellen 58 werden elektrisch mit den Vorrichtungen 72 gekoppelt. Die Bit-Leitungen 66 werden durch leitfähige Durchkontaktierungen 92 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darunter angeordneten Metallisierungsstruktur, wie im dargestellten Beispiel zum Beispiel der Metallisierungsschicht M4, verbunden. Die Wortleitungen 62 werden durch leitfähige Durchkontaktierungen 94 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darüber angeordneten Metallisierungsstruktur, wie im dargestellten Beispiel zum Beispiel der Metallisierungsschicht M6, verbunden. Eine erste Untergruppe der Vorrichtungen 72 (zum Beispiel Zugriffstransistoren), wie zum Beispiel Vorrichtungen des Zeilendecoders 54, werden elektrisch mit den Wortleitungen 62 gekoppelt. Die Bit-Leitungen 66 werden mit einer zweiten Untergruppe der Vorrichtungen 72, wie zum Beispiel Vorrichtungen des Spaltendecoders 56, elektrisch gekoppelt.
  • Zunächst bezugnehmend auf 21B ist eine vereinfachte Draufsicht eines Abschnitts des Speicherbereichs 50M gezeigt. Einige Merkmale der Halbleitervorrichtung 50 (nachfolgend ausführlicher erörtert) sind zum Zweck einer klareren Darstellung weggelassen worden. Es ist ein Abschnitt einer PCRAM-Anordnung gezeigt. Wie nachfolgend ausführlicher beschrieben wird, werden die PCRAM-Zellen 58 in einer Schachbrettanordnung gebildet. Eine solche Anordnung der PCRAM-Zellen 58 wird auf eine selbstausrichtende Art und Weise gebildet, indem ein Stapel aus Schichten leitfähigen Materials und Phasenwechselmaterials (PCM) zweimal geätzt wird: zuerst unter Verwendung einer Struktur der Bit-Leitungen 66, und danach erneut unter Verwendung einer Struktur der Wortleitungen 62. Die Ätzprozesse bilden die Wortleitungen 62, die Bit-Leitungen 66 und die PCRAM-Zellen 58, wobei jede der PCRAM-Zellen 58 in der Ansicht von oben nach unten an einer Schnittstelle zwischen einer Wortleitung 62 und einer Bit-Leitung 66 angeordnet ist.
  • Die Bit-Leitungen 66 erstrecken sich entlang einer ersten Richtung D1, welche parallel zur aktiven Fläche des Halbleitersubstrats 70 (siehe 2) und parallel zu den Längsachsen der Gates der Vorrichtungen 72 (zum Beispiel der Transistoren) verläuft. Die Bit-Leitungen 66 entspringen jeweils einem Bit-Leitungs-Pad 68. Jedes der Bit-Leitungs-Pads 68 ist mit mindestens einer Bit-Leitung 66 gekoppelt. Obwohl sie als separate Elemente dargestellt sind, bilden jedes Bit-Leitungs-Pad 68 und dessen jeweilige Bit-Leitungen 66 tatsächlich ein einziges, durchgehendes leitfähiges Merkmal, wie nachfolgend ausführlicher beschrieben wird. Die Bit-Leitungs-Pads 68 werden durch die leitfähigen Durchkontaktierungen 92 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darunter angeordneten Metallisierungsstruktur (wie im Beispiel von 2 zum Beispiel der Metallisierungsschicht M4) verbunden. Wie nachfolgend ausführlicher beschrieben wird, werden die leitfähigen Durchkontaktierungen 92 elektrisch mit den Bodenelektroden 82 der PCRAM-Zellen 58 gekoppelt (siehe 2). Somit kann jede der leitfähigen Durchkontaktierungen 92 auch als eine Bodenelektrodendurchkontaktierung (BEVA) bezeichnet werden.
  • Die Wortleitungen 62 erstrecken sich entlang einer zweiten Richtung D2, welche parallel zur aktiven Fläche des Halbleitersubstrats 70 (siehe 2) und lotrecht zur ersten Richtung D1 (zum Beispiel lotrecht zu den Längsachsen der Gates der Vorrichtungen 72 (zum Beispiel der Transistoren)) verläuft. Die Wortleitungen 62 entspringen jeweils einem Wortleitungs-Pad 64. Jedes der Wortleitungs-Pads 64 ist mit mindestens einer Wortleitung 62 gekoppelt. Obwohl sie als separate Elemente dargestellt sind, bilden jedes Wortleitungs-Pad 64 und dessen jeweilige Wortleitungen 62 tatsächlich ein einziges, durchgehendes leitfähiges Merkmal, wie nachfolgend ausführlicher beschrieben wird. Die Wortleitungs-Pads 64 werden durch die leitfähigen Durchkontaktierungen 94 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darüber angeordneten Metallisierungsstruktur (wie im Beispiel von 2 zum Beispiel der Metallisierungsschicht M6) verbunden. Wie nachfolgend ausführlicher beschrieben wird, werden die leitfähigen Durchkontaktierungen 94 elektrisch mit den Topelektroden 86 der PCRAM-Zellen 58 gekoppelt (siehe 2). Somit kann jede der leitfähigen Durchkontaktierungen 94 auch als eine Topelektrodendurchkontaktierung (TEVA) bezeichnet werden.
  • 21B zeigt ferner mehrere Referenzquerschnitte. Querschnitt 50C schneidet mehrere PCRAM-Zellen 58. Querschnitt 50P1 ist parallel zum Querschnitt 50C angeordnet und schneidet ein Bit-Leitungs-Pad 68. Querschnitt 50P2 ist lotrecht zum Querschnitt 50C angeordnet und schneidet ein Wortleitungs-Pad 64. Zur Verdeutlichung beziehen sich nachfolgende Figuren auf diese Querschnitte.
  • Die 3 bis 21B sind verschiedene Ansichten von Zwischenstadien der Herstellung der Halbleitervorrichtung 50 im Einklang mit einigen Ausführungsformen. Insbesondere ist die Herstellung der Interconnect-Struktur 78 (siehe 2) für die Halbleitervorrichtung 50 gezeigt. Wie oben erwähnt, weist die Interconnect-Struktur 78 die PCRAM-Zellen 58 der PCRAM-Anordnung 52 auf (siehe 1).
  • Die 3, 4, 5, 6, 7, 8, 9, 10, 11A, 12A, 13, 14, 15, 16, 17A, 18A, 19, 20 und 21A sind Querschnittsansichten, welche den Logikbereich 50L und den Speicherbereich 50M darstellen, aufweisend einen Zellbereich 50C (welche den Querschnitt 50C in 21B darstellt), einen ersten Pad-Bereich 50P1 (welcher den Querschnitt 50P1 in 21B darstellt) und einen zweiten Pad-Bereich 50P2 (welcher Querschnitt 50P2 in 21B darstellt). Wie nachfolgend ausführlicher beschrieben wird, wird ein Bit-Leitungs-Pad 68 (siehe 12A) im ersten Pad-Bereich 50P1 gebildet, ein Wortleitung-Pad 64 (siehe 18A) wird im zweiten Pad-Bereich 50P2 gebildet, und die PCRAM-Zellen 58 (siehe 18A) wird im Zellbereich 50C gebildet. Obwohl der erste Pad-Bereich 50P1, der zweite Pad-Bereich 50P2 und der Zellbereich 50C jeweils in derselben Querschnittsansicht dargestellt sind, versteht sich, dass jeder der Bereiche in unterschiedlichen Querschnitten angeordnet ist, wie durch 21B gezeigt.
  • Die 11B, 12B, 17B, 18B und 21B sind Ansichten von oben nach unten, welche den Speicherbereich 50M darstellen. Die 11B, 12B, 17B, 18B beziehungsweise 21B zeigen die Halbleitervorrichtung 50 bei einem ähnlichen Schritt der Verarbeitung wie die 11A, 12A, 17A, 18A beziehungsweise 21A. Die 11B, 12B, 17B, 18B und 21B sind vereinfachte Ansichten, und einige Merkmale sind zugunsten einer klarerer Darstellung weggelassen worden.
  • In 3 wird eine Metallisierungsschicht (zum Beispiel M4, siehe 2) der Interconnect-Struktur gebildet. Die Metallisierungsschicht weist eine IMD-Schicht 102 und leitfähige Merkmale 104 (welche den Metallleitungen L4 entsprechen können, siehe 2) auf. Die IMD-Schicht 102 wird über der/den ILD-Schicht(en) 74 gebildet. Die IMD-Schicht 102 kann aus einem beliebigen geeigneten dielektrischen Material, zum Beispiel einem Oxid, wie zum Beispiel Siliziumoxid, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG) oder dergleichen; einem Nitrid, wie zum Beispiel Siliziumnitrid; oder dergleichen, gebildet werden. Die IMD-Schicht 102 kann durch einen beliebigen geeigneten Abscheidungsprozess, wie zum Beispiel Rotationsbeschichtung, PVD, chemische Dampfabscheidung (CVD), dergleichen oder einer Kombination daraus, gebildet werden. Die IMD-Schicht 102 kann eine Schicht gebildet aus einem dielektrischen Material mit niedrigem k-Wert, welches einen k-Wert von weniger als ungefähr 3,0 aufweist, sein. Die IMD-Schicht 102 kann eine Schicht gebildet aus einem dielektrischen Material mit besonders niedrigem k-Wert (ELK), welches einen k-Wert von weniger als ungefähr 2,5 aufweist, sein.
  • Die leitfähigen Merkmale 104 werden in der IMD-Schicht 102 gebildet und werden elektrisch mit den Vorrichtungen 72 gekoppelt. Im Einklang mit einigen Ausführungsformen weisen die leitfähigen Merkmale 104 Diffusionsbarriereschichten und leitfähiges Material über den Diffusionsbarriereschichten auf. Öffnungen werden unter Verwendung zum Beispiel eines Ätzprozesses in der IMD-Schicht 102 gebildet. Die Öffnungen legen darunter angeordnete leitfähige Merkmale, wie zum Beispiel darunter angeordnete Metalldurchkontaktierungen, frei. Die Diffusionsbarriereschichten können aus Tantalnitrid, Tantal, Titannitrid, Titan, Kobalt-Wolfram, oder dergleichen gebildet werden, und können in den Öffnungen durch eine Abscheidungsprozess, wie zum Beispiel Atomlagenabscheidung (ALD) oder dergleichen, gebildet werden. Das leitfähige Material kann Kupfer, Aluminium, Wolfram, Silber und Kombinationen davon oder dergleichen enthalten, und kann über den Diffusionsbarriereschichten in den Öffnungen durch einen elektrochemischen Plattierungsprozess, CVD, ALD, PVD, dergleichen oder eine Kombination davon gebildet werden. In einer Ausführungsform ist das leitfähige Material Kupfer, und die Diffusionsbarriereschichten sind dünne Barriereschichten, welche verhindern, dass das Kupfer in die IMD-Schicht 102 diffundiert. Nach der Bildung der Diffusionsbarriereschichten und des leitfähigen Materials kann ein Überschuss der Diffusionsbarriereschichten und des leitfähigen Materials entfernt werden, zum Beispiel durch einen Planarisierungsprozess, wie zum Beispiel einem chemisch-mechanischen Polierprozess (CMP-Prozess). In einigen Ausführungsformen sind die leitfähigen Merkmale 104 Metallleitungen (welche den Metallleitungen L4 entsprechen können, siehe 2).
  • Eine Ätzstoppschicht 106 wird an den leitfähigen Merkmalen 104 und der IMD-Schicht 102 gebildet. Die Ätzstoppschicht 106 kann aus einem dielektrischen Material, wie zum Beispiel Aluminiumnitrid, Aluminiumoxid, Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, einer Kombinationen davon oder dergleichen, gebildet werden. Die Ätzstoppschicht 106 kann durch chemische Dampfabscheidung (CVD), PVD, ALD, einen dielektrischen Aufschleuderprozess, dergleichen oder eine Kombination davon, gebildet werden. Die Ätzstoppschicht 106 kann auch eine Verbundschicht gebildet aus einer Mehrzahl unterschiedlicher dielektrischer Unterschichten sein. Zum Beispiel kann die Ätzstoppschicht 106 eine Siliziumkarbidunterschicht und eine Aluminiumoxidunterschicht gebildet auf der Siliziumkarbidunterschicht aufweisen. Die Siliziumkarbidunterschicht kann als eine Klebstoffschicht verwendet werden, um die Haftung zwischen der Aluminiumoxidunterschicht und der IMD-Schicht 102 zu verbessern.
  • Eine IMD-Schicht 108 wird an der Ätzstoppschicht 106 gebildet. In einigen Ausführungsformen wird die IMD-Schicht 108 aus einem Tetraethylorthosilikatoxid (TEOS-Oxid) gebildet (zum Beispiel, indem Siliziumoxid unter Verwendung eines chemischen Dampfabscheidungsprozesses (CVD-Prozesses) mit TEOS als Vorläufer aufgebracht wird). In einigen Ausführungsformen kann die IMD-Schicht 108 unter Verwendung von PSG, BSG, BPSG, undotiertem Silikatglas (USG), Fluorsilikatglas (FSG), SiOCH, fließbarem Oxid, einem porösen Oxid oder dergleichen oder Kombinationen davon gebildet werden. Die IMD-Schicht 108 kann auch aus einem dielektrischen Material mit niedrigem k-Wert mit einem k-Wert von weniger als ungefähr 3,0 gebildet werden. Die IMD-Schicht 108 kann mit einer Dicke im Bereich von ungefähr 50 nm bis ungefähr 150 nm gebildet werden.
  • Durchkontaktierungsöffnungen 110 werden in der IMD-Schicht 108 strukturiert. Die Durchkontaktierungsöffnungen 110 können unter Verwendung geeigneter Fotolithografie- und Ätztechniken gebildet werden. In einigen Ausführungsformen kann eine Antireflexionsschicht, wie zum Beispiel eine stickstofffreie Antireflexionsbeschichtung (NFARC) (nicht gezeigt), an der IMD-Schicht 108 gebildet werden, um die darunter angeordneten Schichten während der Strukturierung von Durchkontaktierungsöffnungen 110 zu schützen.
  • In 4 werden leitfähige Durchkontaktierungen 92 in den Durchkontaktierungsöffnungen 110 gebildet. Die leitfähigen Durchkontaktierungen 92 können auch als BEVAs bezeichnet werden. In einigen Ausführungsformen können die leitfähigen Durchkontaktierungen 92 leitfähige Hauptbereiche und leitfähige Barriereschichten, welche Seitenwände und unter Flächen der leitfähigen Hauptbereiche auskleiden, aufweisen. Die leitfähigen Barriereschichten können aus Titan, Titannitrid, Tantal, Tantalnitrid, Kobalt, einer Kombination davon oder dergleichen gebildet werden. Die leitfähigen Hauptbereiche können aus Metallen, wie zum Beispiel Kupfer, Aluminium, Wolfram, Kobalt, Legierungen davon oder dergleichen, gebildet werden. Die Bildung der leitfähigen Durchkontaktierungen 92 kann das konforme Bilden einer leitfähigen Barriereschicht, welche sich in die Durchkontaktierungsöffnungen 110 erstreckt, das Aufbringen eines metallischen Werkstoffs über der leitfähigen Barriereschicht, und das Durchführen eines Planarisierungsprozesses, wie zum Beispiel eines CMP-Prozesses oder eines mechanischen Schleifprozesses, zum Entfernen überschüssiger Abschnitte der leitfähigen Barriereschicht und des metallischen Werkstoffs von der oberen Fläche der IMD-Schicht 108 umfassen.
  • In 5 wird eine Mehrzahl von Speicherzellenschichten über den leitfähigen Durchkontaktierungen 92 und der IMD-Schicht 108 gebildet. Insbesondere werden eine Bit-Leitungsschicht 114, eine Bodenelektrodenschicht 116, eine PCM-Schicht 118 und eine Topelektrodenschicht 120 aufgebracht. Die Bit-Leitungsschicht 114 kann bei der nachfolgenden Verarbeitung (siehe 12A und 12B) strukturiert werden, um Bit-Leitungen 66 und Bit-Leitungs-Pads 68 zu bilden. Die Topelektrodenschicht 120, die PCM-Schicht 118 und die Bodenelektrodenschicht 116 werden ebenfalls bei der nachfolgenden Verarbeitung strukturiert (siehe 18A und 18B), um die Topelektroden 86, die PCM-Elemente 84 beziehungsweise die Bodenelektroden 82 jeweiliger PCRAM-Zellen 58 zu bilden.
  • Die Bit-Leitungsschicht 114 wird an den leitfähigen Durchkontaktierungen 92 und der IMD-Schicht 108 gebildet. Die Bit-Leitungsschicht 114 wird aus einem Metall, wie zum Beispiel Wolfram, Titan, Kobalt, Nickel, dergleichen der Kombinationen davon, gebildet und kann durch CVD, PVD, ALD oder dergleichen aufgebracht werden. Die Bit-Leitungsschicht 114 wird konform gebildet und kann unter Verwendung von CVD, PVD, ALD, elektrochemischer Plattierung, stromloser Plattierung oder dergleichen gebildet werden. In einigen Ausführungsformen ist die Bit-Leitungsschicht 114 eine Schicht aus Wolfram gebildet durch CVD.
  • Die Bodenelektrodenschicht 116 wird an der Bit-Leitungsschicht 114 gebildet. Die Bodenelektrodenschicht 116 wird aus einem leitfähigen Material, wie zum Beispiel Titan, Tantal, Aluminium, Wolfram, Platin, Nickel, Chrom, Ruthenium, Nitriden davon, Kombinationen davon, Mehrfachschichten davon oder dergleichen, gebildet. Die Bodenelektrodenschicht 116 wird konform gebildet und kann unter Verwendung von CVD, PVD, ALD, elektrochemischer Plattierung, stromloser Plattierung oder dergleichen gebildet werden. In einigen Ausführungsformen ist die Bodenelektrodenschicht 116 eine Schicht aus Titannitrid gebildet durch PVD.
  • Die PCM-Schicht 118 wird an der Bodenelektrodenschicht 116 gebildet. Die PCM-Schicht 118 wird aus einem Chalkogenid-Material gebildet. Chalkogenidmaterialien enthalten mindestens ein Chalkogen-Anion (zum Beispiel Selen (Se), Tellur (Te) und dergleichen) und ein elektropositives Element (zum Beispiel Germanium (Ge), Silizium (Si), Phosphor (P), Arsen (As), Antimon (Sb), Bismut (Bi), Zink (Zn), Stickstoff (N), Bor (B), Kohlenstoff (C) und dergleichen). Ein annehmbares Chalkogenid-Material umfasst, ist jedoch nicht beschränkt auf, GeSb2Te5 (GST). Die PCM-Schicht 118 wird konform gebildet und kann unter Verwendung von PVD, CVD, ALD oder dergleichen gebildet werden. In einigen Ausführungsformen ist die PCM-Schicht 118 eine Schicht aus GST gebildet durch PVD. Das Bilden der PCM-Schicht 118 durch PVD ermögliche eine gute Filmqualität und kann Bedenken hinsichtlich der Spaltenfüllung vermindern.
  • Die Topelektrodenschicht 120 wird an der PCM-Schicht 118 gebildet. Die Topelektrodenschicht 120 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der Bodenelektrodenschicht 116, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der Bodenelektrodenschicht 116 gewählt werden können. Die Bodenelektrodenschicht 116 und die Topelektrodenschicht 120 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten.
  • In den 6 bis 12B wird die Bit-Leitungsschicht 114 strukturiert, um Bit-Leitungen 66 und Bit-Leitungs-Pads 68 zu bilden (siehe 12A und 12B). Die Topelektrodenschicht 120, die PCM-Schicht 118 und die Bodenelektrodenschicht 116 werden ebenfalls strukturiert, um Topelektrodenstreifen 150, PCM-Streifen 148 und Bodenelektrodenstreifen 146 zu bilden (siehe 12A und 12B). Dieser Strukturierungsprozess ist der erste von zwei Strukturierungsprozessen, welche zum Bilden der PCRAM-Zellen 58 (siehe 2) in einer selbstausrichtenden Art und Weise durchgeführt werden. In einer nachfolgenden Verarbeitung werden die Topelektrodenstreifen 150, die PCM-Streifen 148 und die Bodenelektrodenstreifen 146 erneut strukturiert, um die PCRAM-Zellen 58 zu bilden.
  • Wie nachfolgend ausführlicher erörtert wird, stellen die 6 bis 12B einen Prozess dar, in welchem eine erste Maske 136 (siehe 10) gebildet wird, welche eine Struktur der Bit-Leitungen 66 aufweist, und eine zweite Maske 138 (siehe 11A und 11B) gebildet wird, welche eine Struktur der Bit-Leitungs-Pads 68 aufweist. In der dargestellten Ausführungsform wird die erste Maske 136 mit einem Mehrfachstrukturierungsprozess gebildet, und die zweite Maske 138 wird mit einem Einzelstrukturierungsprozess gebildet, sodass die Merkmale der ersten Maske 136 kleiner sein können als die Merkmale der zweiten Maske 138. Die Bit-Leitungsschicht 114 wird dann unter Verwendung beider Masken 136, 138 als eine kombinierte Ätzmaske strukturiert, um die Bit-Leitungen 66 und die Bit-Leitungs-Pads 68 gleichzeitig zu bilden (siehe 12A und 12B).
  • In 6 wird eine Mehrzahl von Maskierungsschichten über den Speicherzellenschichten, zum Beispiel über der Topelektrodenschicht 120, gebildet. Insbesondere werden eine oder mehrere dielektrische Schichten 122 und eine Dornschicht 124 aufgebracht. Die dielektrische(n) Schicht(en) 122 wird/werden strukturiert, um Ätzmasken zu bilden, welche in einer nachfolgenden Verarbeitung dazu verwendet werden, die Bit-Leitungsschicht 114 zu strukturieren.
  • Die dielektrische(n) Schicht(en) 122 wird/werden an der Topelektrodenschicht 120 gebildet. In der dargestellten Ausführungsform weisen/weist die dielektrische(n) Schicht(en) 122 eine erste dielektrische Schicht 122A über der Topelektrodenschicht 120 und eine zweite dielektrische Schicht 122B über der ersten dielektrischen Schicht 122A auf. Die erste dielektrische Schicht 122A kann eine Maskenschicht, wie zum Beispiel eine Hartmaskenschicht, sein; kann aus einem Nitrid, wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid, Titannitrid oder dergleichen, gebildet werden; und kann durch Abscheidung, wie zum Beispiel PECVD, ALD oder dergleichen, gebildet werden. Die zweite dielektrische Schicht 122B kann eine Pad-Schicht sein; kann aus einem Oxid, wie zum Beispiel Siliziumoxid, einem TEOS-Oxid oder dergleichen, gebildet werden; und kann durch Abscheidung, wie zum Beispiel durch PECVD, ALD oder dergleichen, gebildet werden.
  • Die Dornschicht 124 wird an der/den dielektrischen Schicht(en) 122, zum Beispiel an der zweiten dielektrischen Schicht 122B, gebildet. Die Dornschicht 124 wird aus einem Material gebildet, welches eine hohe Ätzselektivität gegen das Ätzen der darunterliegenden Schicht(en), zum Beispiel der dielektrischen Schicht(en) 122, aufweist. Die Dornschicht 124 kann aus einem Material, wie zum Beispiel amorphes Silizium, Polysilizium, Siliziumnitrid, Siliziumoxid, dergleichen oder Kombinationen davon, gebildet werden, und kann unter Verwendung eines Prozesses, wie zum Beispiel CVD, PECVD oder dergleichen, gebildet werden.
  • Eine oder mehrere Masken werden über der Dornschicht 124 gebildet. Die Masken werden dazu verwendet, die Dornschicht 124 zu strukturieren und Dorne/Formkerne zu bilden. In einigen Ausführungsformen können die eine oder die mehreren Masken eine oder mehrere Hartmasken, eine dreischichtige Maske, eine Kombination davon oder dergleichen aufweisen. Zum Beispiel kann eine Hartmaskenschicht 126 über der Dornschicht 124 gebildet werden, und eine lichtempfindliche Maske 128 kann über der Hartmaskenschicht 126 gebildet werden. In einigen Ausführungsformen wird die Hartmaskenschicht 126 aus einem Oxid, wie zum Beispiel Siliziumoxynitrid, Siliziumoxid, Titanoxid, einer Kombinationen davon oder dergleichen, gebildet. Die lichtempfindliche Maske 128 kann ein Fotolack, wie zum Beispiel ein einschichtiger Fotolack, ein zweischichtiger Fotolack, ein dreischichtiger Fotolack oder dergleichen, sein.
  • In 7 wird die Dornschicht 124 strukturiert, um die Dorne/Formkerne 130 zu bilden. In der dargestellten Ausführungsform wird die Struktur der lichtempfindlichen Maske 128 auf die Hartmaskenschicht 126 übertragen, und dann wird die Struktur der Hartmaskenschicht 126 auf die Dornschicht 124 übertragen. Jede der Strukturen kann durch einen annehmbaren Ätzprozess, wie zum Beispiel reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), dergleichen oder eine Kombination davon, übertragen werden. Das Ätzen kann anisotrop erfolgen. In einigen Ausführungsformen ist die abschließende Ätzung selektiv für die Dornschicht 124, zum Beispiel ätzt sie das Material der Dornschicht 124 selektiv mit einer rascheren Geschwindigkeit als ein Material der darunter angeordneten dielektrischen Schicht 122, zum Beispiel der zweiten dielektrischen Schicht 122B. Die lichtempfindliche Maske 128 und die Hartmaskenschicht 126 können optional mit dem Material der Dornschicht 124 entfernt werden, oder können in einem anschließenden Reinigungsprozess entfernt werden.
  • Nach der Strukturierung können die Dornkerne 130 um einen Teilungsabstand Dg im Bereich von ungefähr 40 nm bis ungefähr 80 nm getrennt sein. Jeder der Dorne 130 kann eine Breite W1 im Bereich von ungefähr 40 nm bis ungefähr 80 nm aufweisen. Die Dorne 130 werden dazu verwendet, Abstandselemente über der/den dielektrischen Schicht(en) zu strukturieren. Der Teilungsabstand D3 und die Breite W1 der Dorne 130 bestimmt den Teilungsabstand zwischen nachfolgend strukturierten Abstandselementen.
  • In 8 wird eine Abstandselementschicht 132 über den Dornen 130 und der/den dielektrischen Schicht(en) 122 gebildet. Nach ihrer Bildung erstreckt sich die Abstandselementschicht 132 entlang der oberen Flächen der Dorne 130, den Seitenwänden der Dorne 130 und den oberen Flächen der darunter angeordneten dielektrischen Schicht 122, zum Beispiel der zweiten dielektrischen Schicht 122B. Die Abstandselementschicht 132 wird aus einem Material gebildet, welches eine hohe Ätzselektivität gegen das Ätzen der darunterliegenden Schicht(en), zum Beispiel der dielektrischen Schicht(en) 122, aufweist. Die Abstandselementschicht 132 kann aus Siliziumnitrid, Aluminiumoxid, Aluminiumnitrid, Tantalnitrid, Titannitrid, Titanoxid, dergleichen oder Kombinationen davon, gebildet werden und kann unter Verwendung eines Prozesses, wie zum Beispiel ALD, CVD oder dergleichen, gebildet werden. Die Abstandselementschicht 132 weist einen hohen Grad an Konformität auf, wobei die Dicke T1 ihrer vertikalen Abschnitte gleich oder etwas geringer ist als die Dicke T2 ihrer horizontalen Abschnitte. Zum Beispiel kann die Dicke T1 von ungefähr 80 % bis ungefähr 100 % der Dicke T2 betragen. Die Dicke T1 kann im Bereich von ungefähr 15 nm bis ungefähr 30 nm liegen, und die Dicke T2 kann im Bereich von ungefähr 15 nm bis ungefähr 30 nm liegen. Die Abstandselementschicht 132 wird strukturiert, um Abstandselemente über der/den dielektrischen Schicht(en) 122 zu bilden. Die Dicke T1 der vertikalen Abschnitte der Abstandselementschicht 132 bestimmt die Breite der anschließend strukturierten Abstandselemente.
  • In 9 wird die Abstandselementschicht 132 strukturiert, um Abstandselemente 134 über der/den dielektrischen Schicht(en) 122 zu bilden. Ein geeigneter Ätzprozess wird durchgeführt, um die horizontalen Abschnitte der Abstandselementschicht 132 zu entfernen. Der Ätzprozess ätzt die horizontalen Abschnitte der Abstandselementschicht 132 selektiv mit einer rascheren Geschwindigkeit als die Dorne 130 und die vertikalen Abschnitte der Abstandselementschicht 132. Wenn die Abstandselementschicht 132 zum Beispiel aus Siliziumnitrid gebildet wird, kann der Ätzprozess eine anisotrope Trockenätzung durchgeführt mit Methan (CH4), Chlor (Cl2), Stickstoff (N2) oder dergleichen sein. Nach dem Ätzprozess weisen die Abstandselemente 134 die verbleibenden vertikalen Abschnitte der Abstandselementschicht 132 auf. Die Dorne 130 können optional mit den horizontalen Abschnitten der Abstandselementschicht 132 entfernt werden, oder können in einem anschließenden Reinigungsprozess entfernt werden. In einigen Ausführungsformen werden die Dorne 130 entfernt, nachdem die Abstandselemente 134 gebildet worden sind, und können durch einen geeigneten Ätzprozess entfernt werden, welcher die Dorne 130 selektiv mit einer rascheren Geschwindigkeit ätzt als die Abstandselemente 134.
  • Nach der Strukturierung weisen die Abstandselemente 134 eine Breite W2 auf und sind um einem Teilungsabstand D4 voneinander getrennt. Der Teilungsabstand D4 zwischen den Abstandselementen 134 kann im Bereich von ungefähr 20 nm bis ungefähr 50 nm liegen, und die Breite W2 der Abstandselemente 134 kann im Bereich von ungefähr 15 nm bis ungefähr 30 nm liegen. Wie oben erwähnt, bestimmen der Teilungsabstand D3 und die Breite W1 (siehe 7) der Dorne 130 den Teilungsabstand D4 zwischen den Abstandselementen 134, und die Dicke T1 (siehe 8) der vertikalen Abschnitte der Abstandselementschicht 132 bestimmt die Breite W2 der Abstandselemente 134. Da ein ausgewählter Ätzprozess dazu verwendet wird, die Abstandselemente 134 zu bilden, verringert sich die Dicke T1 der vertikalen Abschnitte der Abstandselementschicht 132 beim Bilden der Abstandselemente 134 geringfügig. Die Abstandselemente 134 werden dazu verwendet, die Bit-Leitungsschicht 114 zu strukturieren. Der Teilungsabstand D4 und die Breite W2 der Abstandselemente 134 bestimmen den Teilungsabstand und die Breite der entstehenden Bit-Leitungen 66 (siehe 12A und 12B).
  • In 10 werden die Abstandselemente 134 in unerwünschten Positionen in einem Schnittprozess entfernt. Der Schnittprozess kann unter Verwendung geeigneter Fotolithografie- und Ätztechniken durchgeführt werden. Zum Beispiel kann eine erste Untergruppe der Abstandselemente 134 abgedeckt werden, zum Beispiel mit einer Maske wie einem Fotolack, und eine nicht abgedeckte zweite Untergruppe der Abstandselemente 134 kann dann mit einer Ätzung entfernt werden, welche das Material der Abstandselemente 134 selektiv mit einer rascheren Geschwindigkeit ätzt als das Material der darunter angeordneten dielektrischen Schicht 122, zum Beispiel der zweiten dielektrischen Schicht 122B. In einigen Ausführungsformen werden die Abstandselemente 134 zunächst sowohl im Logikbereich 50L als auch im Speicherbereich 50M gebildet, und der Schnittprozess wird dazu verwendet, die Abstandselemente 134 aus dem Logikbereich 50L, dem ersten Pad-Bereich 50P1 , und dem zweiten Pad-Bereich 50P2 , zu entfernen, sodass die Abstandselemente 134 nur im Zellbereich 50C verbleiben. Die verbliebenen Abstandselemente 134 bilden eine erste Maske 136 im Zellbereich 50C.
  • In 11A wird eine zweite Maske 138 im ersten Pad-Bereich 50P1, gebildet. Die zweite Maske 138 kann eine lichtempfindliche Maske, wie zum Beispiel ein Fotolack, wie zum Beispiel ein einschichtiger Fotolack, ein zweischichtiger Fotolack, ein dreischichtiger Fotolack oder dergleichen, sein. Die zweite Maske 138 wird nicht durch das Strukturieren von Abstandselementen gebildet, und somit sind die Merkmale der zweiten Maske 138 größer als die Merkmale der ersten Maske 136. Zum Beispiel können die Merkmale der zweiten Maske 138 eine Breite W3 aufweisen, welche größer ist als die Breite W2 (siehe 9). Zum Beispiel kann die Breite W3 im Bereich von ungefähr 50 nm bis ungefähr 500 nm liegen.
  • Wie durch 11B gezeigt, überlappen sich Abschnitte der ersten Maske 136 und Abschnitte der zweiten Maske 138. Somit gehen einige der strukturierten Merkmale ineinander über. Wie nachfolgend ausführlicher erörtert, erstrecken sich die Bit-Leitungen 66 ferner entlang derselben Richtung D1 (siehe 12B). Die Abstandselemente 134, welche dazu verwendet werden, die Bit-Leitungen 66 zu strukturieren, erstrecken sich somit ebenfalls entlang derselben Richtung D1.
  • In 12A werden die Masken 136, 138 als eine kombinierte Ätzmaske verwendet, um die dielektrische(n) Schicht(en) 122 zu ätzen und zu strukturieren. Mindestens eine der dielektrischen Schichten 122, zum Beispiel die erste dielektrische Schicht 122A, bleibt nach dem Ätzen zurück und bildet eine strukturierte Hartmaske. Die strukturierte Hartmaske wird dann als eine Ätzmaske verwendet, um die Topelektrodenschicht 120, die PCM-Schicht 118, die Bodenelektrodenschicht 116 und die Bit-Leitungsschicht 114 zu ätzen und zu strukturieren. Die Strukturierung kann einen oder mehrere Ätzprozesse umfassen. Das Ätzverfahren kann ein Plasmaätzverfahren, wie zum Beispiel Ionenstrahlätzung (IBE), umfassen. IBE bietet einen hohen Grad an Präzision (zum Beispiel hohen Anisotropismus), welcher dabei helfen kann, das Profil der entstehenden Bit-Leitungen 66 zu steuern. Die Ätzung kann unter Verwendung von Glimmentladungsplasma (GDP), kapazitiv gekoppeltem Plasma (CCP), induktiv gekoppeltem Plasma (ICP) oder dergleichen umgesetzt werden. Die erste Maske 136, die zweite Maske 138 und/oder die dielektrische(n) Schicht(en) 122 können im Ätzprozess verbraucht, oder nach dem Ätzprozess entfernt werden. In der dargestellten Ausführungsform bleibt die erste dielektrische Schicht 122A nach dem Ätzprozess zurück.
  • Der Ätzprozess bildet Bit-Leitungen 66 und Bit-Leitungs-Pads 68. Die Bit-Leitungen 66 und die Bit-Leitungs-Pads 68 sind unterhalb der Topelektrodenstreifen 150 angeordnet. Die Bit-Leitungen 66 und die Bit-Leitungs-Pads 68 weisen verbleibende Abschnitte der Bit-Leitungsschicht 114 auf.
  • Der Ätzprozess bildet auch Topelektrodenstreifen 150, PCM-Streifen 148 und Bodenelektrodenstreifen 146. Die Topelektrodenstreifen 150, die PCM-Streifen 148 und die Bodenelektrodenstreifen 146 weisen verbleibende Abschnitt der Topelektrodenschicht 120, der PCM-Schicht 118 beziehungsweise der Bodenelektrodenschicht 116 auf. Obwohl in den Figuren nicht gezeigt, versteht sich, dass die strukturierten Schichten geneigte Seitenwände aufweisen können, und im dargestellten Querschnitt trapezförmig sein können. Jede der strukturierten Schichten weist in der Ansicht von oben nach unten dieselbe Form auf als die kombinierte Form der Masken 136, 138 (siehe 11B).
  • Wie durch 12B gezeigt, stellt jede der Bit-Leitungen 66 Metallstreifen dar, welche sich entlang derselben Richtung D1 erstrecken und von einem Bit-Leitungs-Pad 68 entspringen. Somit sind die Bit-Leitungen 66 und die Bit-Leitungs-Pads 68 zwar als getrennte Elemente dargestellt, es versteht sich jedoch, dass jedes der Bit-Leitungs-Pads 68 und seine jeweiligen Bit-Leitungen 66 tatsächlich ein einziges fortlaufendes leitfähiges Merkmal sind, welches aus der Bit-Leitungsschicht 114 strukturiert worden ist. Mit anderen Worten bildet die in 12A gezeigte Strukturierung erste leitfähige Merkmale, welche Bit-Leitungs-Abschnitte und Bit-Leitungs-Pad-Abschnitte aufweisen.
  • Es versteht sich, dass die 6 bis 12B einen Beispielprozess zum Strukturieren der Bit-Leitungsschicht 114 darstellen, und dass andere Prozesse zum Strukturieren der Bit-Leitungsschicht 114 verwendet werden können. Zum Beispiel kann die Bit-Leitungsschicht 114 auch unter Verwendung von Lithografietechniken der nächsten Generation strukturiert werden, wie zum Beispiel Extremultraviolettlithografie (EUV-Lithografie), Tiefultraviolettlithografie (DUV-Lithografie, Röntgenlithografie, Weichröntgenlithografie (SX-Lithografie, Ionenstrahlprojektionslithografie, Elektronenstrahlprojektionslithografie oder dergleichen. Die Verwendung von Lithografietechniken der nächsten Generation kann es ermöglichen, dass die Bit-Leitungsschicht 114 durch einen Einzelstrukturierungs-Fotolithografieprozess strukturiert wird, was die Notwendigkeit von Mehrfachstrukturierungs-Fotolithografieprozessen erübrigt.
  • In 13 werden Abstandselemente 156 an den Seitenwänden der Bit-Leitungs-Pads 68 und der Bit-Leitungen 66 gebildet. Die Abstandselemente 156 werden auch an den Seitenwänden der Topelektrodenstreifen 150, der PCM-Streifen 148 und der Bodenelektrodenstreifen 146 gebildet. Die Abstandselemente 156 können durch konformes Aufbringen eines Isoliermaterials und anschließendes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial kann ein Nitrid (zum Beispiel Siliziumnitrid, Aluminiumnitrid, etc.), ein Oxide (zum Beispiel Siliziumoxid, Aluminiumoxid, etc.), ein Karbid (zum Beispiel Siliziumkarbid), Kombinationen davon (zum Beispiel Siliziumoxynitrid, Siliziumkarbonitrid, etc.), Mehrfachschichten davon oder dergleichen sein. Die Ätzung kann anisotrop sein.
  • Eine IMD-Schicht 158 wird dann über den Abstandselementen 156, der IMD-Schicht 108 und der ersten dielektrischen Schicht 122A (falls vorhanden) oder den Topelektrodenstreifen 150 gebildet. Die IMD-Schicht 158 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der IMD-Schicht 108, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der IMD-Schicht 108 gewählt werden können. Die IMD-Schicht 108 und die IMD-Schicht 158 können aus demselben Material gebildet werden, oder können unterschiedlichen Materialien enthalten.
  • In 14 wird ein Planarisierungsprozess durchgeführt, um überschüssiges Material der IMD-Schicht 158 zu entfernen. Der Planarisierungsprozess kann ein CMP, mechanisches Schleifen, eine Rückätzung oder dergleichen sein. Der Planarisierungsprozess entfernt die erste dielektrischen Schicht 122A (falls vorhanden), um die Topelektrodenstreifen 150 freizulegen. Nach dem Planarisierungprozess sind obere Flächen der IMD-Schicht 158, der Abstandselemente 156 und der Topelektrodenstreifen 150 komplanar (innerhalb gewisser Prozessabweichungen).
  • In 15 wird eine Wortleitungsschicht 160 an den planarisierten oberen Flächen der IMD-Schicht 158, der Abstandselemente 156 und der Topelektrodenstreifen 150 gebildet. Die Wortleitungsschicht 160 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der Bit-Leitungsschicht 114, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der Bit-Leitungsschicht 114 gewählt werden können. Die Bit-Leitungsschicht 114 und die Wortleitungsschicht 160 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten.
  • In den 16 bis 18B wird die Wortleitungsschicht 160 strukturiert, um Wortleitungen 62 und Wortleitungs-Pads 64 zu bilden (siehe 18A und 18B). Die Topelektrodenstreifen 150, die PCM-Streifen 148 und die Bodenelektrodenstreifen 146 werden während des Prozesses zum Strukturieren der Wortleitungsschicht 160 ebenfalls strukturiert, wodurch die PCRAM-Zellen 58 (siehe 18A und 18B) gebildet werden. Dieser Strukturierungsprozess ist der zweite der zwei Strukturierungsprozesse, welche zum Bilden der PCRAM-Zellen 58 (siehe 18A und 18B) in einer selbstausrichtenden Art und Weise durchgeführt werden.
  • Wie nachfolgend ausführlicher erörtert wird, stellen die 16 bis 18B einen Prozess dar, in welchem eine dritte Maske 166 (siehe 16) gebildet wird, welche eine Struktur der Wortleitungen 62 aufweist, und eine vierte Maske 168 (siehe 17A und 17B) gebildet wird, welche eine Struktur der Wortleitungs-Pads 64 aufweist. In der dargestellten Ausführungsform wird die dritte Maske 166 mit einem Mehrfachstrukturierungsprozess gebildet, und die vierte Maske 168 wird mit einem Einzelstrukturierungsprozess gebildet, sodass die Merkmale der dritten Maske 166 kleiner sein können als die Merkmale der vierten Maske 168. Die Wortleitungsschicht 160 wird dann unter Verwendung beider Masken 166, 168 als eine kombinierte Ätzmaske strukturiert, um die Wortleitungen 62 und die Wortleitungs-Pads 64 gleichzeitig zu bilden (siehe 18A und 18B).
  • In 16 wird eine Mehrzahl von Maskierungsschichten über der Wortleitungsschicht 160 gebildet. Insbesondere werden eine oder mehrere dielektrische Schicht(en) 162 aufgebracht. Die dielektrische(n) Schicht(en) 162 wird/werden strukturiert, um Ätzmasken zu bilden, welche in einer nachfolgenden Verarbeitung dazu verwendet werden, die Wortleitungsschicht 160 zu strukturieren.
  • Die dielektrische(n) Schicht(en) 162 wird/werden an der Wortleitungsschicht 160 gebildet. In der dargestellten Ausführungsform weisen/weist die dielektrische(n) Schicht(en) 162 eine erste dielektrische Schicht 162A über der Wortleitungsschicht 160 und eine zweite dielektrische Schicht 162B über der ersten dielektrischen Schicht 162A auf. Die erste dielektrische Schicht 162A kann eine Maskenschicht, wie zum Beispiel eine Hartmaskenschicht, sein; kann aus einem Nitrid, wie zum Beispiel Siliziumnitrid, Siliziumoxynitrid, Titannitrid oder dergleichen, gebildet werden; und kann durch Abscheidung, wie zum Beispiel PECVD, ALD oder dergleichen, gebildet werden. Die zweite dielektrische Schicht 162B kann eine Pad-Schicht sein; kann aus einem Oxid, wie zum Beispiel Siliziumoxid, einem TEOS-Oxid oder dergleichen, gebildet werden; und kann durch Abscheidung, wie zum Beispiel durch PECVD, ALD oder dergleichen, gebildet werden.
  • Dann werden Abstandselemente 164 über der/den dielektrischen Schicht(en) 162 gebildet. Die Abstandselemente 164 können aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der Abstandselemente 134, und können unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der Abstandselemente 134 gewählt werden können. Zum Beispiel können die Abstandselemente 164 gebildet werden, indem eine Dornschicht (siehe zum Beispiel 6) aufgebracht wird, die Dornschicht zum Bilden von Dornen strukturiert wird (siehe zum Beispiel 7), eine Abstandselementschicht über den Dornen aufgebracht wird (siehe zum Beispiel 8), die Abstandselementschicht zum Bilden der Abstandselemente 164 strukturiert wird (siehe zum Beispiel 9) und die Abstandselemente 164 in unerwünschten Positionen in einem Schnittprozess entfernt werden (siehe zum Beispiel 10). Die Abstandselemente 134 und die Abstandselemente 164 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten. Die verbleibenden Abstandselemente 164 bilden eine dritte Maske 166 im Zellbereich 50C, welche dazu verwendet wird, die Wortleitungsschicht 160 zu strukturieren.
  • In 17A wird eine vierte Maske 168 im zweiten Pad-Bereich 50P2 gebildet. Die vierte Maske 168 kann eine lichtempfindliche Maske, wie zum Beispiel ein Fotolack, wie zum Beispiel ein einschichtiger Fotolack, ein zweischichtiger Fotolack, ein dreischichtiger Fotolack oder dergleichen, sein. Die vierte Maske 168 wird nicht durch das Strukturieren von Abstandselementen gebildet, und somit können die Merkmale der vierten Maske 168 größer sein als die Merkmale der dritten Maske 166. In einigen Ausführungsformen weisen die Merkmale der dritten Maske 166 und der vierten Maske 168 ähnliche Abmessungen auf, wie die Merkmale der ersten Maske 136 beziehungsweise der zweiten Maske 138. In einigen Ausführungsformen weisen die Merkmale der dritten Maske 166 und der vierten Maske 168 andere Abmessungen auf als die Merkmale der ersten Maske 136 beziehungsweise der zweiten Maske 138.
  • Wie durch 17B gezeigt, überlappen sich Abschnitte der dritten Maske 166 und Abschnitte der vierten Maske 168. Somit gehen einige der strukturierten Merkmale ineinander über. Wie nachfolgend ausführlicher erörtert, erstrecken sich die Wortleitungen 62 ferner entlang derselben Richtung D2 (siehe 18B). Die Abstandselemente 164, welche dazu verwendet werden, die Wortleitungen 62 zu strukturieren, erstrecken sich somit ebenfalls entlang derselben Richtung D2.
  • In 18A werden die Masken 166, 168 als eine kombinierte Ätzmaske dazu verwendet, die dielektrische(n) Schicht(en) 162 zu ätzen und zu strukturieren. Mindestens eine der dielektrischen Schichten 162, zum Beispiel die erste dielektrische Schicht 162A, bleibt nach dem Ätzen zurück und bildet eine strukturierte Hartmaske. Die strukturierte Hartmaske wird dann als eine Ätzmaske verwendet, um die Wortleitungsschicht 160, die Topelektrodenstreifen 150, die PCM-Streifen 148 und die Bodenelektrodenstreifen 146 zu ätzen und zu strukturieren. Das Strukturieren kann einen oder mehrere Ätzprozesse umfassen, und kann Vertiefungen 170 in der IMD-Schicht 158 bilden. Das Ätzverfahren kann ein Plasmaätzverfahren, wie zum Beispiel Ionenstrahlätzung (IBE), umfassen. IBE bietet einen hohen Grad an Präzision (zum Beispiel hohen Anisotropismus), welcher dabei helfen kann, das Profil der entstehenden Wortleitungen 62 zu steuern. Die Ätzung kann unter Verwendung von Glimmentladungsplasma (GDP), kapazitiv gekoppeltem Plasma (CCP), induktiv gekoppeltem Plasma (ICP) oder dergleichen umgesetzt werden. Die dritte Maske 166, die vierte Maske 168 und/oder die dielektrische(n) Schicht(en) 162 können im Ätzprozess verbraucht, oder nach dem Ätzprozess entfernt werden. In der dargestellten Ausführungsform bleibt die erste dielektrische Schicht 162A nach dem Ätzprozess zurück.
  • Der Ätzprozess bildet Wortleitungen 62 und Wortleitungs-Pads 64. Die Wortleitungen 62 werden über Topelektroden 86 angeordnet, und die Wortleitungs-Pads 64 werden über unstrukturierten Abschnitten der IMD-Schicht 158 angeordnet. Die Wortleitungen 62 und die Wortleitungs-Pads 64 weisen verbleibende Abschnitte der Wortleitungsschicht 160 auf. Obwohl in den Figuren nicht gezeigt, versteht sich, dass die Wortleitungen 62 geneigte Seitenwände aufweisen können, und im dargestellten Querschnitt trapezförmig sein können. Die strukturierte Wortleitungsschicht 160 weist in der Ansicht von oben nach unten dieselbe Form auf, wie die kombinierte Form der Masken 166, 168 (siehe 17B).
  • Wie durch 18B gezeigt, stellt jede der Wortleitungen 62 Metallstreifen dar, welche sich entlang derselben Richtung D2 erstrecken und von einem Wortleitungs-Pad 64 entspringen. Somit sind die Wortleitungen 62 und die Wortleitungs-Pads 64 zwar als getrennte Elemente dargestellt, es versteht sich jedoch, dass jedes der Wortleitungs-Pads 64 und seine jeweiligen Wortleitungen 62 tatsächlich ein einziges fortlaufendes leitfähiges Merkmal sind, welches aus der Wortleitungsschicht 160 strukturiert worden ist. Mit anderen Worten bildet die in 18A gezeigte Strukturierung zweite leitfähige Merkmale, welche Wortleitungs-Abschnitte und Wortleitungs-Pad-Abschnitte aufweisen.
  • Der Ätzprozess strukturiert auch die Topelektrodenstreifen 150, die PCM-Streifen 148 und die Bodenelektrodenstreifen 146 zum Bilden der Bodenelektroden 82, der PCM-Elemente 84 beziehungsweise der Topelektroden 86, welche zusammen die PCRAM-Zellen 58 bilden. Jede PCRAM-Zelle 58 weist eine Bodenelektrode 82, ein PCM-Element 84 und eine Topelektrode 86 auf, wobei das PCM-Element 84 zwischen den Bodenelektrode 82 und der Topelektrode 86 angeordnet ist. Die Bodenelektroden 82 weisen verbleibende Abschnitte der Bodenelektrodenstreifen 146 auf. Die PCM-Elemente 84 weisen verbleibende Abschnitte der PCM-Streifen 148 auf. Die Topelektroden 86 weisen verbleibende Abschnitte der Topelektrodenstreifen 150 auf. Obwohl in den Figuren nicht gezeigt, versteht sich, dass die Bodenelektroden 82, die PCM-Elemente 84 und die Topelektroden 86 geneigte Seitenwände aufweisen können, und im dargestellten Querschnitt trapezförmig sein können.
  • Der Ätzprozess entfernt die Abschnitte der Topelektrodenstreifen 150, der PCM-Streifen 148 und der Bodenelektrodenstreifen 146, welche nicht durch die Masken 166, 168 bedeckt sind, wie zum Beispiel die Abschnitte jener Schichten, welche über den Bit-Leitungs-Pads 68 angeordnet sind. Wie durch 18B gezeigt, ist jede der PCRAM-Zellen 58 in der Ansicht von oben nach unten an einem Schnittpunkt einer Wortleitung 62 und einer Bit-Leitung 66 angeordnet. Die PCRAM-Zellen 58 werden somit in einer selbstausrichtenden Art und Weise gebildet, welche ermöglicht, dass der Teilungsabstand D5 und die Breite W4 der PCRAM-Zellen 58 klein sind. Zum Beispiel kann der Teilungsabstand D5 im Bereich von ungefähr 20 mm bis ungefähr 50 nm liegen, und die Breite W4 kann im Bereich von ungefähr 15 nm bis ungefähr 30 nm liegen. Der Teilungsabstand D5 entspricht sowohl dem Abstand zwischen benachbarten PCRAM-Zellen 58 als auch dem Abstand zwischen benachbarte Schnittpunkten der Wortleitungen 62 und der Bit-Leitungen 66.
  • Die Vertiefungen 170 werden in Abschnitten der IMD-Schicht 158 gebildet. Insbesondere werden die Vertiefungen 170 durch Ätzen der IMD-Schicht 158 und jeglicher Topelektrodenstreifen 150, PCM-Streifen 148 oder Bodenelektrodenstreifen 146, welche nicht durch die Masken 166, 168 bedeckt sind, gebildet. Somit legen die Vertiefungen 170 die Bit-Leitungs-Pads 68 frei. Zeitlich abgestimmte Ätzprozesse können dazu verwendet werden, das Ätzen der Vertiefungen 170 zu stoppen, nachdem die Vertiefungen 170 eine gewünschte Tiefe erreicht haben. Obwohl in 18A nicht gezeigt, versteht sich, dass die Vertiefungen 170 auch Abschnitte der Bit-Leitungen 66 freilegen, welche nicht unter den Wortleitungen 62 und den Wortleitungs-Pads 64 angeordnet sind. Die Vertiefungen 170 können durch Durchführen des Ätzprozesses (wie oben beschrieben) mit mehreren Ätzungen gebildet werden. Zum Beispiel kann der Ätzprozess eine erste Ätzung und eine zweite Ätzung umfassen. Die erste Ätzung kann das Material der Wortleitungsschicht 160 selektiv mit einer rascheren Geschwindigkeit ätzen, als die Materialien der IMD-Schicht 158, der Topelektrodenstreifen 150, der PCM-Streifen 148 und der Bodenelektrodenstreifen 146. Die zweite Ätzung kann die Materialien der IMD-Schicht 158, der Topelektrodenstreifen 150, der PCM-Streifen 148 und der Bodenelektrodenstreifen 146 selektiv mit einer rascheren Geschwindigkeit ätzen, als das Material der Bit-Leitungen 66 und des Bit-Leitungs-Pads 68. In einigen Ausführungsformen ist die erste Ätzung eine Ionenstrahlätzung unter Verwendung von Schwefelhexafluorid (SF6), Argon (Ar), Sauerstoff (02) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 20 Sekunden bis 60 Sekunden, und die zweite Ätzung ist eine Ionenstrahlätzung unter Verwendung von Chlor (Cl2), Bromwasserstoff (HBr), Argon (Ar) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 15 Sekunden bis 75 Sekunden. Andere Ätzparameter können verwendet werden, wenn magnetoresistive Direktzugriffsspeicher (MRAMs), resistive Direktzugriffsspeicher (RRAMs), Speicher mit einer Selektorstruktur und dergleichen hergestellt werden. Ferner können die Ätzparameter basierend auf den Materialien der geätzten Filme und den Filmdicken variiert werden.
  • Nachdem die Vertiefungen 170 gebildet worden sind, weist die IMD-Schicht 158 vertiefte Abschnitte 158R und nicht vertiefte Abschnitte 158U auf. Die vertieften Abschnitte 158R umgeben Bit-Leitungen 66 und die Bit-Leitungs-Pads 68, und die nicht vertieften Abschnitte 158U umgeben die PCRAM-Zellen 58. Die vertieften Abschnitte 158R sind unterhalb der Wortleitungen 62 und der Wortleitungs-Pads 64 angeordnet. Die vertieften Abschnitte 158R werden nicht strukturiert und weisen daher eine größere Höhe auf als die nicht vertieften Abschnitte 158U.
  • Es versteht sich, dass die 16 bis 18B einen Beispielprozess zum Strukturieren der Wortleitungsschicht 160 darstellen, und dass andere Prozesse zum Strukturieren der Wortleitungsschicht 160 verwendet werden können. Zum Beispiel kann die Wortleitungsschicht 160 auch unter Verwendung von Lithografietechniken der nächsten Generation strukturiert werden, wie zum Beispiel Extremultraviolettlithografie (EUV-Lithografie), Tiefultraviolettlithografie (DUV-Lithografie, Röntgenlithografie, Weichröntgenlithografie (SX-Lithografie), Ionenstrahlprojektionslithografie, Elektronenstrahlprojektionslithografie oder dergleichen. Die Verwendung von Lithografietechniken der nächsten Generation kann es ermöglichen, dass die Wortleitungsschicht 160 durch einen Einzelstrukturierungs-Fotolithografieprozess strukturiert werden, was die Notwendigkeit von Mehrfachstrukturierungs-Fotolithografieprozessen erübrigt.
  • In 19 wird eine IMD-Schicht 178 über der IMD-Schicht 158, den Bit-Leitungs-Pads 68 und der ersten dielektrischen Schicht 162A (falls vorhanden) oder den Wortleitungen 62 und den Wortleitungs-Pads 64 gebildet. Die IMD-Schicht 178 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der IMD-Schicht 108, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der IMD-Schicht 108 gewählt werden können. Die IMD-Schicht 108 und die IMD-Schicht 178 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten. Nach der Bildung ist die IMD-Schicht 178 über den Bit-Leitungen 66 und den vertieften Abschnitten 158R der IMD-Schicht 158 angeordnet. Die IMD-Schicht 178 umgibt somit die Wortleitungen 62, die Wortleitungs-Pads 64 und die nicht vertieften Abschnitte 158U der IMD-Schicht 158. Ferner umgibt die Kombination der IMD-Schichten 158, 178 die PCRAM-Zellen 58 an allen vier Seiten. Das Umschließen aller vier Seiten der PCRAM-Zellen 58 mit einem dielektrischen Material kann die Leistung der PCRAM-Zellen 58 während des Betriebs verbessern, da das dielektrische Material dabei hilft, Hitze zu absorbieren, welche erzeugt wird, wenn die PCM-Elemente 84 die Phasen wechseln.
  • In 20 wird ein Planarisierungsprozess durchgeführt, um überschüssiges Material der IMD-Schicht 178 zu entfernen. Der Planarisierungsprozess kann ein CMP, mechanisches Schleifen, eine Rückätzung oder dergleichen sein. Der Planarisierungsprozess entfernt die erste dielektrische Schicht 162A (falls vorhanden), um die Wortleitungen 62 und die Wortleitungs-Pads 64 freizulegen. Nach dem Planarisierungprozess sind obere Flächen der IMD-Schicht 178, der Wortleitungs-Pads 64 und der Wortleitungen 62 komplanar (innerhalb gewisser Prozessabweichungen).
  • In 21A wird eine Ätzstoppschicht 182 an den planarisierten oberen Flächen der IMD-Schicht 178, der Wortleitungs-Pads 64 und der Wortleitungen 62 gebildet. Die Ätzstoppschicht 182 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der Ätzstoppschicht 106, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der Ätzstoppschicht 106 gewählt werden können. Die Ätzstoppschicht 106 und die Ätzstoppschicht 182 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten.
  • Eine IMD-Schicht 184 wird dann an der Ätzstoppschicht 182 gebildet. Die IMD-Schicht 184 kann aus einem Material gebildet werden, welches aus derselben Gruppe möglicher Materialien ausgewählt wird, wie jenes der IMD-Schicht 108, und kann unter Verwendung eines Verfahrens gebildet werden, welches aus derselben Gruppe möglicher Verfahren ausgewählt wird, welche zum Bilden der IMD-Schicht 108 gewählt werden können. Die IMD-Schicht 108 und die IMD-Schicht 184 können aus demselben Material gebildet werden, oder können unterschiedliche Materialien enthalten.
  • Leitfähige Merkmale 186 (zum Beispiel Interconnects) werden dann derart gebildet, dass sie sich durch die IMD-Schicht 184 und die Ätzstoppschicht 182 erstrecken. Die leitfähigen Merkmale 186 weisen leitfähige Durchkontaktierungen 186V (welche den Metalldurchkontaktierungen V5, siehe 2, und den leitfähigen Durchkontaktierungen 94, siehe 1, entsprechen können) und Leiterbahnen 186L (welche den Metallleitungen L5, siehe 2 entsprechen können) auf. Die leitfähigen Merkmale 186 werden sowohl im Speicherbereich 50M als auch im Logikbereich 50L gebildet. Die leitfähigen Merkmale 186 können durch einen Damaszenerprozess, wie zum Beispiel einen Einfachdamaszenerprozess, einen Doppeldamaszenerprozess oder dergleichen, gebildet werden. Die leitfähigen Merkmale 186 werden mit den Speichervorrichtungen (zum Beispiel PCRAMs), welche im Speicherbereich 50M gebildet worden sind, und den Logikvorrichtungen (zum Beispiel Logikschaltungen), welche im Logikbereich 50L gebildet worden sind, elektrisch verbunden. Eine erste Untergruppe der leitfähigen Merkmale 186A wird im Speicherbereich 50M gebildet, und wird mit den Wortleitungs-Pads 64 verbunden. Eine zweite Untergruppe der leitfähigen Merkmale 186B wird im Logikbereich 50L gebildet, und erstreckt sich ferner durch die IMD-Schicht 178, die IMD-Schicht 158, die IMD-Schicht 108 und die Ätzstoppschicht 106, um sich mit den leitfähigen Merkmalen 104 zu verbinden. In einigen Ausführungsformen koppeln die leitfähigen Merkmale 186 die Speichervorrichtungen elektrisch mit den Logikvorrichtungen. Zum Beispiel können die leitfähigen Merkmale 186 dazu verwendet werden, einige der leitfähigen Merkmale 104 elektrisch mit einigen der Wortleitungs-Pads 64 zu verbinden, wie zum Beispiel in der dargestellten Metallisierungsschicht oder in einer weiteren Metallisierungsschicht. Obwohl die leitfähige Durchkontaktierung 186V und die entsprechende Leiterbahn 186Ljeweils als ein separates Element dargestellt sind, versteht sich, dass diese ein durchgehendes Merkmal sein können, wie zum Beispiel in Ausführungsformen, in welchen sie durch einen Doppeldamaszenerprozess gebildet werden.
  • Jede der PCRAM-Zellen 58 ist mit einem leitfähigen Merkmal 186 und einem leitfähigen Merkmal 104 verbunden. Insbesondere ist jede der Topelektroden 86 durch eine Wortleitung 62, ein Wortleitungs-Pad 64 und eine leitfähige Durchkontaktierung 94 mit einer Leiterbahn 186L verbunden. Desgleichen ist jede der Bodenelektroden 82 durch eine Bit-Leitung 66, ein Bit-Leitungs-Pad 68 und eine leitfähige Durchkontaktierung 92 mit einer Leiterbahn 104 verbunden. Die Wortleitung-Pads 64 sind unter den leitfähigen Durchkontaktierungen 94 angeordnet und physisch und elektrisch mit diesen gekoppelt. Die Bit-Leitung-Pads 68 sind über den leitfähigen Durchkontaktierungen 92 angeordnet und physisch und elektrisch mit diesen gekoppelt. Somit verbinden die leitfähigen Durchkontaktierungen 92 die Bit-Leitungs-Pads 68 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darunter angeordneten Metallisierungsstruktur (zum Beispiel der Metallisierungsschicht M4 in 2), und die leitfähigen Durchkontaktierungen 94 verbinden die Wortleitungs-Pads 64 mit leitfähigen Merkmalen (zum Beispiel Interconnects) einer darüber angeordneten Metallisierungsstruktur (zum Beispiel der Metallisierungsschicht M6 in 2). Ferner verbinden die leitfähigen Merkmale 186B die leitfähigen Merkmale der darunter angeordneten Metallisierungsstruktur mit den leitfähigen Merkmalen der darüber angeordneten Metallisierungsstruktur.
  • Wie durch 21B gezeigt, können die von jedem der Bit-Leitungs-Pads 68 entspringenden Bit-Leitungen 66 ineinander verschachtelt sein. Zum Beispiel können die von einem ersten Bit-Leitungs-Pad 68A entspringenden Bit-Leitungen 66 mit den von einem zweiten Bit-Leitungs-pad 68B entspringenden Bit-Leitungen 66 ineinander verschachtelt sein. Desgleichen können die aus jedem der Wortleitungs-Pads 64 entspringenden Wortleitungen 62 ineinander verschachtelt sein. Zum Beispiel können die von einem ersten Wortleitungs-Pad 64A entspringenden Wortleitungen 62 mit den von einem zweiten Wortleitungs-Pad 64B entspringenden Wortleitungen 62 ineinander verschachtelt sein.
  • 22 ist eine Querschnittsansicht der Halbleitervorrichtung 50 im Einklang mit einigen Ausführungsformen. Diese Ausführungsform ist ähnlich der Ausführungsform, welche in Bezug auf 21A beschrieben worden ist, außer dass eiförmige Schwellenwertschaltschichten (OTS-Schichten) 192 zwischen den Bodenelektroden 82 und den Bit-Leitungen 66 gebildet werden. Die OTS-Schichten 192 können aus einem Chalkogenid-Material gebildet werden, und können aus einem anderen Chalkogenid-Material gebildet werden als die PCM-Elemente 84. Die OTS-Schichten 192 können für Speicherselektoren verwendet werden. Speicherselektoren funktionieren ähnlich wie Transistoren, welche einen Schwellenspannungswert (Vth) aufweisen. Nur angelegte Spannungen höher als die Vth einer PCRAM-Zelle 58 können einen Strompfad zur PCRAM-Zelle 58 bilden und somit Lese-/Schreibvorgänge aus dem/in den Speicher ermöglichen.
  • Die 23A bis 23E sind dreidimensionale Ansichten von Zwischenstadien eines selbstausrichtenden Strukturierungsprozesses zum Bilden der PCRAM-Zellen im Einklang mit einigen Ausführungsformen. Die 23A bis 23E sind vereinfachte Ansichten, und einige Merkmale sind zugunsten einer klarerer Darstellung weggelassen worden. Die 23A bis 23E stellen zusätzlich Ansichten der Halbleitervorrichtung 50 während des oben in Bezug auf die 3 bis 21B beschriebenen Prozesses dar.
  • In 23A wird die Bit-Leitungsschicht 114 über einem Substrat aufgebracht, und die Speicherzellenschichten (zum Beispiel die Bodenelektrodenschicht 116, die PCM-Schicht 118 und die Topelektrodenschicht 120) werden über der Bit-Leitungsschicht 114 aufgebracht. Die Bit-Leitungsschicht 114 und die Speicherzellenschichten werden auf die in Bezug auf 5 beschriebene Art und Weise aufgebracht.
  • In 23B werden die Bodenelektrodenschicht 116, die PCM-Schicht 118, die Topelektrodenschicht 120 und die Bit-Leitungsschicht 114 strukturiert. Das Strukturieren der Bit-Leitungsschicht 114 bildet die Bit-Leitungen 66 und Bit-Leitungs-Pads 68. Das Strukturieren der Bodenelektrodenschicht 116, der PCM-Schicht 118 und der Topelektrodenschicht 120 bildet die Bodenelektrodenstreifen 146, die PCM-Streifen 148 beziehungsweise die Topelektrodenstreifen 150. Die Bodenelektrodenstreifen 146, die PCM-Streifen 148, die Topelektrodenstreifen 150 und die Bit-Leitungen 66 erstrecken sich jeweils in die erste Richtung D1. Die Bodenelektrodenschicht 116, die PCM-Schicht 118, die Topelektrodenschicht 120 und die Bit-Leitungsschicht 114 werden auf die Art und Weise strukturiert, welche in Bezug auf die 6 bis 12B beschrieben worden ist.
  • In 23C wird die IMD-Schicht 158 rund um die Bodenelektrodenstreifen 146, die PCM-Streifen 148, die Topelektrodenstreifen 150, die Bit-Leitungen 66 und die Bit-Leitungs-Pads 68 aufgebracht. Die IMD-Schicht 158 wird dann planarisiert, um die Topelektrodenstreifen 150 freizulegen. Die IMD-Schicht 158 wird auf die Art und Weise, welche in Bezug auf die 13 und 14 beschrieben worden ist, aufgebracht und planarisiert.
  • In 23D wird die Wortleitungsschicht 160 über der IMD-Schicht 158, den Topelektrodenstreifen 150 und den übrigen darunter angeordneten Streifen aufgebracht. Die Wortleitungsschicht 160 wird auf die Art und Weise, welche in Bezug auf 15 beschrieben worden ist, aufgebracht.
  • In 23E werden die Wortleitungsschicht 160, die Bodenelektrodenstreifen 146, die PCM-Streifen 148 und die Topelektrodenstreifen 150 strukturiert. Das Strukturieren der Wortleitungsschicht 160 bildet die Wortleitungen 62 und die Wortleitungs-Pads 64. Das Strukturieren der Bodenelektrodenstreifen 146, der PCM-Streifen 148 und der Topelektrodenstreifen 150 bildet die PCRAM-Zellen 58. Die Wortleitungen 62 erstrecken sich jeweils in der zweiten Richtung D2, welche lotrecht zur ersten Richtung D1 verläuft. Wie oben angegeben, werden die PCRAM-Zellen 58 in der Ansicht von oben nach unten jeweils an einem Schnittpunkt einer Wortleitung 62 und einer Bit-Leitung 66 angeordnet. Die Wortleitungsschicht 160, die Bodenelektrodenstreifen 146, die PCM-Streifen 148 und die Topelektrodenstreifen 150 werden auf die Art und Weise strukturiert, welche in Bezug auf die 16 bis 18B beschrieben worden sind.
  • Ausführungsformen können Vorteile bereitstellen. Das Bilden der PCRAM-Zellen 58 in einer selbstausrichtenden Art und Weise mit mehreren Strukturierungsprozessen ermöglicht das Bilden der PCRAM-Zellen 58 mit kleineren Teilungsabständen und Breiten, wodurch die Dichte und die Leistung der PCRAM-Zellen 58 verbessert werden. Insbesondere erzeugen kleinere PCRAM-Zellen 58 weniger Hitze beim Phasenwechsel ihrer PCM-Elemente 84. Ferner ermöglichen Ausführungsformen der Strukturierungsprozesse, dass die Wortleitungen 62 und die Bit-Leitungen 66 für die PCRAM-Anordnung gleichzeitig mit den PCRAM-Zellen 58 strukturiert werden, wodurch Herstellungskosten verringert werden können.
  • In einer Ausführungsform weist die Vorrichtung auf: eine erste Metallisierungsschicht über einem Substrat, wobei das Substrat aktive Vorrichtungen aufweist; eine erste Bit-Leitung über der ersten Metallisierungsschicht, wobei die erste Bit-Leitung mit ersten Interconnects der ersten Metallisierungsschicht verbunden ist, sich die erste Bit-Leitung in einer ersten Richtung erstreckt, und die erste Richtung parallel zu Gates der aktiven Vorrichtungen verläuft; eine erste Phasenwechsel-Direktzugriffsspeicherzelle (PCRAM-Zelle) über der ersten Bit-Leitung; eine Wortleitung über der ersten PCRAM-Zelle, wobei sich die Wortleitung in einer zweiten Richtung erstreckt, und die zweite Richtung lotrecht zu den Gates der aktiven Vorrichtungen verläuft; und eine zweite Metallisierungsschicht über der Wortleitung, wobei die Wortleitung mit zweiten Interconnects der zweiten Metallisierungsschicht verbunden ist.
  • In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine zweite Bit-Leitung über der ersten Metallisierungsschicht, wobei sich die zweite Bit-Leitung in der ersten Richtung erstreckt; und eine zweite PCRAM-Zelle über der zweiten Bit-Leitung, wobei die Wortleitung über der zweiten PCRAM-Zelle angeordnet ist, und die erste PCRAM-Zelle um einen Abstand in einem Bereich von 20 nm bis 50 nm von der zweiten PCRAM-Zelle entfernt ist. In einigen Ausführungsformen der Vorrichtung weisen die erste PCRAM-Zelle und die zweite PCRAM-Zelle jeweils eine Breite in einem Bereich von 15 nm bis 30 nm auf. In einigen Ausführungsformen weist die Vorrichtung ferner auf: ein Bit-Leitungs-Pad über der ersten Metallisierungsschicht, wobei das Bit-Leitungs-Pad und die erste Bit-Leitung ein erstes durchgehendes leitfähiges Merkmal darstellen; eine erste leitfähige Durchkontaktierung, welche das Bit-Leitungs-Pad mit den ersten Interconnects der ersten Metallisierungsschicht verbindet; und eine erste dielektrischen Zwischenmetallschicht (IMD-Schicht) rund um die erste leitfähige Durchkontaktierung, wobei das erste durchgehende leitfähige Merkmal an der ersten IMD-Schicht angeordnet ist. In einigen Ausführungsformen weist die Vorrichtung ferner auf: ein Wortleitungs-Pad über dem Bit-Leitungs-Pad, wobei das Wortleitungs-Pad und die Wortleitung ein zweites durchgehendes leitfähiges Merkmal darstellen; eine zweite leitfähige Durchkontaktierung, welche das Wortleitungs-Pad mit den zweiten Interconnects der zweiten Metallisierungsschicht verbindet; und eine zweite IMD-Schicht rund um die zweite leitfähige Durchkontaktierung, wobei die zweite IMD-Schicht am zweiten durchgehenden leitfähigen Merkmal angeordnet ist. In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine dritte leitfähige Durchkontaktierung, welche sich durch die erste IMD-Schicht und die zweite IMD-Schicht erstreckt, wobei die dritte leitfähige Durchkontaktierung die ersten Interconnects der ersten Metallisierungsschicht mit den zweiten Interconnects der zweiten Metallisierungsschicht verbindet. In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine erste dielektrische Schicht aufweisend einen ersten Abschnitt und einen zweiten Abschnitt, wobei der erste Abschnitt die erste Bit-Leitung umgibt, der zweite Abschnitt die erste PCRAM-Zelle umgibt, der erste Abschnitt eine erste Höhe aufweist, der zweite Abschnitt eine zweite Höhe aufweist, wobei die zweite Höhe größer ist als die erste Höhe, und die Wortleitung über dem zweiten Abschnitt angeordnet ist. In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine zweite dielektrische Schicht über der ersten Bit-Leitung und dem ersten Abschnitt der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht die Wortleitung und den zweiten Abschnitt der ersten dielektrischen Schicht umgibt. In einigen Ausführungsformen der Vorrichtung weist die erste PCRAM-Zelle auf: eine Bodenelektrode verbunden mit der ersten Bit-Leitung; eine Topelektrode verbunden mit der Wortleitung; und ein Phasenwechselmaterialelement (PCM-Element) zwischen der Topelektrode und der Bodenelektrode. In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine eiförmige Schwellenwertschaltschicht zwischen der Bodenelektrode und der ersten Bit-Leitung.
  • In einer Ausführungsform weist eine Vorrichtung auf: eine erste dielektrische Zwischenmetallschicht (IMD-Schicht); eine erste leitfähige Durchkontaktierung, welche sich durch die erste IMD-Schicht erstreckt; ein erstes leitfähiges Merkmal aufweisend einen Bit-Leitungs-Pad-Abschnitt und einen Bit-Leitungsabschnitt, wobei der Bit-Leitungs-Pad-Abschnitt an der erste leitfähigen Durchkontaktierung angeordnet ist und der Bit-Leitungsabschnitt an der ersten IMD-Schicht angeordnet ist; eine Phasenwechsel-Direktzugriffsspeicherzelle (PCRAM-Zelle) am Bit-Leitungsabschnitt des ersten leitfähigen Merkmals; eine zweite IMD-Schicht, welche die PCRAM-Zelle und das erste leitfähige Merkmal umgibt; und ein zweites leitfähiges Merkmal, welches einen Wortleitungs-Pad-Abschnitt und einen Wortleitungsabschnitt aufweist, wobei der Wortleitungs-Pad-Abschnitt an der zweiten IMD-Schicht angeordnet ist und der Wortleitungsabschnitt an der PCRAM-Zelle angeordnet ist.
  • In einigen Ausführungsformen weist die Vorrichtung ferner auf: eine zweite leitfähige Durchkontaktierung am Wortleitungs-Pad-Abschnitt des zweiten leitfähigen Merkmals; und eine dritte IMD-Schicht, welche die zweite leitfähige Durchkontaktierung umgibt.
  • In einer Ausführungsform umfasst ein Verfahren: Bilden einer Bit-Leitungsschicht über einem Substrat, welches aktive Vorrichtungen aufweist; Aufbringen einer Phasenwechselmaterialschicht (PCM-Schicht) über der Bit-Leitungsschicht; Strukturieren der PCM-Schicht und der Bit-Leitungsschicht zum Bilden eines PCM-Streifen beziehungsweise einer Bit-Leitung, wobei sich der PCM-Streifen und die Bit-Leitung in einer Ansicht von oben nach unten jeweils in einer ersten Richtung erstrecken, wobei die erste Richtung parallel zu Gates der aktiven Vorrichtungen verläuft; Aufbringen einer ersten dielektrischen Zwischenmetallschicht (IMD-Schicht) rund um den PCM-Streifen und die Bit-Leitung; Aufbringen einer Wortleitungsschicht über der ersten IMD-Schicht und dem PCM-Streifen; und Strukturieren der Wortleitungsschicht und des PCM-Streifens zum Bilden einer Wortleitung beziehungsweise eines PCM-Elements, wobei sich die Wortleitung in der Ansicht von oben nach unten in einer zweiten Richtung erstreckt, wobei die zweite Richtung lotrecht zu den Gates der aktiven Vorrichtungen verläuft, und das PCM-Element in der Ansicht von oben nach unten an einem Schnittpunkt der Wortleitung und der Bit-Leitung angeordnet ist.
  • In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der PCM-Schicht und der Bit-Leitungsschicht: Bilden einer ersten Maske über der PCM-Schicht, wobei sich Merkmale der ersten Maske in der ersten Richtung erstrecken und die Merkmale der ersten Maske eine erste Breite aufweisen; Bilden einer zweiten Maske über der PCM-Schicht, wobei sich Merkmale der zweiten Maske in der zweiten Richtung erstrecken, die Merkmale der zweiten Maske eine zweite Breite aufweisen, und sich Abschnitte der ersten Maske und der zweiten Maske überlappen; und das Ätzen der PCM-Schicht und der Bit-Leitungsschicht unter Verwendung der ersten Maske und der zweiten Maske als eine erste kombinierte Ätzmaske zum Bilden eines ersten leitfähigen Merkmals, wobei das erste leitfähige Merkmal die Bit-Leitung und ein Bit-Leitungs-Pad aufweist. In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der Wortleitungsschicht und des PCM-Streifens: Bilden einer dritten Maske über der Wortleitungsschicht, wobei Merkmale der dritten Maske die erste Breite aufweisen; Bilden einer vierten Maske über der PCM-Schicht, wobei Merkmale der vierten Maske die zweite Breite aufweisen und sich Abschnitte der dritten Maske und der vierten Maske überlappen; und das Ätzen der Wortleitungsschicht und des PCM-Streifens unter Verwendung der dritten Maske und der vierten Maske als eine zweite kombinierte Ätzmaske zum Bilden eines zweiten leitfähigen Merkmals, wobei das zweite leitfähige Merkmal die Wortleitung und ein Wortleitungs-Pad aufweist. In einigen Ausführungsformen des Verfahrens umfasst das Ätzen der Wortleitungsschicht und des PCM-Streifens: Ätzen der Wortleitungsschicht mit Ionenstrahlätzung unter Verwendung von Schwefelhexafluorid (SF6), Argon (Ar), Sauerstoff (02) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 20 Sekunden bis 60 Sekunden; und Ätzen des PCM-Streifens und der ersten IMD-Schicht mit Ionenstrahlätzung unter Verwendung von Chlor (Cl2), Bromwasserstoff (HBr), Argon (Ar) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 15 Sekunden bis 75 Sekunden. In einigen Ausführungsformen umfasst das Verfahren ferner: Aufbringen der Bit-Leitungsschicht an einer ersten leitfähigen Durchkontaktierung, wobei das Bit-Leitungs-Pad die erste leitfähige Durchkontaktierung kontaktiert; und Bilden einer zweiten leitfähigen Durchkontaktierung, welche das Wortleitungs-Pad kontaktiert. In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der Wortleitungsschicht und des PCM-Streifens das Freilegen eines Abschnitts der durch den PCM-Streifen bedeckten Bit-Leitungsschicht. In einigen Ausführungsformen des Verfahrens umfasst das Strukturieren der Wortleitungsschicht und des PCM-Streifens das Vertiefen eines Abschnitts der ersten IMD-Schicht, wobei die Wortleitung an einem nicht vertieften Abschnitt der ersten IMD-Schicht angeordnet ist. In einigen Ausführungsformen umfasst das Verfahren ferner: Aufbringen einer zweiten IMD-Schicht rund um die Wortleitung und den nicht vertieften Abschnitt der ersten IMD-Schicht.
  • Das Vorstehende legt Merkmale verschiedener Ausführungsformen dar, damit Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erlangen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten ferner erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie zahlreiche Änderungen, Ersetzungen und Neugestaltungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63001944 [0001]

Claims (20)

  1. Vorrichtung aufweisend: eine erste Metallisierungsschicht über einem Substrat, wobei das Substrat aktive Vorrichtungen aufweist; eine erste Bit-Leitung über der ersten Metallisierungsschicht, wobei die erste Bit-Leitung mit ersten Interconnects der ersten Metallisierungsschicht verbunden ist, sich die erste Bit-Leitung in einer ersten Richtung erstreckt, und die erste Richtung parallel zu Gates der aktiven Vorrichtungen verläuft; eine erste Phasenwechsel-Direktzugriffsspeicherzelle (PCRAM-Zelle) über der ersten Bit-Leitung; eine Wortleitung über der ersten PCRAM-Zelle, wobei sich die Wortleitung in einer zweiten Richtung erstreckt, und die zweite Richtung lotrecht zu den Gates der aktiven Vorrichtungen verläuft; und eine zweite Metallisierungsschicht über der Wortleitung, wobei die Wortleitung mit zweiten Interconnects der zweiten Metallisierungsschicht verbunden ist.
  2. Vorrichtung nach Anspruch 1, ferner aufweisend: eine zweite Bit-Leitung über der ersten Metallisierungsschicht, wobei sich die zweite Bit-Leitung in der ersten Richtung erstreckt; und eine zweite PCRAM-Zelle über der zweiten Bit-Leitung, wobei die Wortleitung über der zweiten PCRAM-Zelle angeordnet ist und die erste PCRAM-Zelle um einen Abstand in einem Bereich von 20 nm bis 50 nm von der zweiten PCRAM-Zelle entfernt ist.
  3. Vorrichtung nach Anspruch 2, wobei die erste PCRAM-Zelle und die zweite PCRAM-Zelle jeweils eine Breite in einem Bereich von 15 nm bis 30 nm aufweisen.
  4. Vorrichtung nach Anspruch 1, ferner aufweisend: ein Bit-Leitungs-Pad über der ersten Metallisierungsschicht, wobei das Bit-Leitungs-Pad und die erste Bit-Leitung ein erstes durchgehendes leitfähiges Merkmal darstellen; eine erste leitfähige Durchkontaktierung, welche das Bit-Leitungs-Pad mit den ersten Interconnects der ersten Metallisierungsschicht verbindet; und eine erste dielektrische Zwischenmetallschicht (IMD-Schicht) rund um die erste leitfähige Durchkontaktierung, wobei das erste durchgehende leitfähige Merkmal an der ersten IMD-Schicht angeordnet ist.
  5. Vorrichtung nach Anspruch 4, ferner aufweisend: ein Wortleitungs-Pad über dem Bit-Leitungs-Pad, wobei das Wortleitungs-Pad und die Wortleitung ein zweites durchgehendes leitfähiges Merkmal darstellen; eine zweite leitfähige Durchkontaktierung, welche das Wortleitungs-Pad mit den zweiten Interconnects der zweiten Metallisierungsschicht verbindet; und eine zweite IMD-Schicht rund um die zweite leitfähige Durchkontaktierung, wobei die zweite IMD-Schicht am zweiten durchgehenden leitfähigen Merkmal angeordnet ist.
  6. Vorrichtung nach Anspruch 5, ferner aufweisend: eine dritte leitfähige Durchkontaktierung, welche sich durch die erste IMD-Schicht und die zweite IMD-Schicht erstreckt, wobei die dritte leitfähige Durchkontaktierung die ersten Interconnects der ersten Metallisierungsschicht mit den zweiten Interconnects der zweiten Metallisierungsschicht verbindet.
  7. Vorrichtung nach Anspruch 1, ferner aufweisend: eine erste dielektrische Schicht aufweisend einen ersten Abschnitt und einen zweiten Abschnitt, wobei der erste Abschnitt die erste Bit-Leitung umgibt, der zweite Abschnitt die erste PCRAM-Zelle umgibt, der erste Abschnitt eine erste Höhe aufweist, der zweite Abschnitt eine zweite Höhe aufweist, wobei die zweite Höhe größer ist als die erste Höhe, und die Wortleitung über dem zweiten Abschnitt angeordnet ist.
  8. Vorrichtung nach Anspruch 7, ferner aufweisend: eine zweite dielektrische Schicht über der ersten Bit-Leitung und dem ersten Abschnitt der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht die Wortleitung und den zweiten Abschnitt der ersten dielektrischen Schicht umgibt.
  9. Vorrichtung nach Anspruch 1, wobei die erste PCRAM-Zelle aufweist: eine Bodenelektrode, welche mit der ersten Bit-Leitung verbunden ist; eine Topelektrode, welche mit der Wortleitung verbunden ist; und ein Phasenwechselmaterialelement (PCM-Element) zwischen der Topelektrode und der Bodenelektrode.
  10. Vorrichtung nach Anspruch 9, ferner aufweisend: eine eiförmige Schwellenwertschaltschicht zwischen der Bodenelektrode und der ersten Bit-Leitung.
  11. Vorrichtung aufweisend: eine erste dielektrische Zwischenmetallschicht (IMD-Schicht); eine erste leitfähige Durchkontaktierung, welche sich durch die erste IMD-Schicht erstreckt; ein erstes leitfähiges Merkmal, welches einen Bit-Leitungs-Pad-Abschnitt und einen Bit-Leitungsabschnitt aufweist, wobei der Bit-Leitungs-Pad-Abschnitt an der ersten leitfähigen Durchkontaktierung angeordnet ist, und der Bit-Leitungsabschnitt an der ersten IMD-Schicht angeordnet ist; eine Phasenwechsel-Direktzugriffsspeicherzelle (PCRAM-Zelle) am Bit-Leitungsabschnitt des ersten leitfähigen Merkmals; eine zweite IMD-Schicht, welche die PCRAM-Zelle und das erste leitfähige Merkmal umgibt; und ein zweites leitfähiges Merkmal, welches einen Wortleitungs-Pad-Abschnitt und einen Wortleitungsabschnitt aufweist, wobei der Wortleitungs-Pad-Abschnitt an der zweiten IMD-Schicht angeordnet ist, und der Wortleitungsabschnitt an der PCRAM-Zelle angeordnet ist.
  12. Vorrichtung nach Anspruch 11, ferner aufweisend: eine zweite leitfähige Durchkontaktierung am Wortleitungs-Pad-Abschnitt des zweiten leitfähigen Merkmals; und eine dritte IMD-Schicht, welche die zweite leitfähige Durchkontaktierung umgibt.
  13. Verfahren umfassend: Bilden einer Bit-Leitungsschicht über einem Substrat, welches aktive Vorrichtungen aufweist; Aufbringen einer Phasenwechselmaterialschicht (PCM-Schicht) über der Bit-Leitungsschicht; Strukturieren der PCM-Schicht und der Bit-Leitungsschicht zum Bilden eines PCM-Streifens beziehungsweise einer Bit-Leitung, wobei sich der PCM-Streifen und die Bit-Leitung in einer Ansicht von oben nach unten jeweils in einer ersten Richtung erstrecken, und die erste Richtung parallel zu Gates der aktiven Vorrichtungen verläuft; Aufbringen einer ersten dielektrischen Zwischenmetallschicht (IMD-Schicht) rund um den PCM-Streifen und die Bit-Leitung; Aufbringen einer Wortleitungsschicht über der ersten IMD-Schicht und dem PCM-Streifen; und Strukturieren der Wortleitungsschicht und des PCM-Streifens zum Bilden einer Wortleitung beziehungsweise eines PCM-Elements, wobei sich die Wortleitung in der Ansicht von oben nach unten in einer zweiten Richtung erstreckt, die zweite Richtung lotrecht zu den Gates der aktiven Vorrichtungen verläuft, und das PCM-Element in der Ansicht von oben nach unten an einem Schnittpunkt der Wortleitung und der Bit-Leitung angeordnet ist.
  14. Verfahren nach Anspruch 13, wobei das Strukturieren der PCM-Schicht und der Bit-Leitungsschicht umfasst: Bilden einer ersten Maske über der PCM-Schicht, wobei sich Merkmale der ersten Maske in der ersten Richtung erstrecken, und die Merkmale der ersten Maske eine erste Breite aufweisen; Bilden einer zweiten Maske über der PCM-Schicht, wobei sich Merkmale der zweiten Maske in der zweiten Richtung erstrecken, die Merkmale der zweiten Maske eine zweite Breite aufweisen, und sich Abschnitte der ersten Maske und der zweiten Maske überlappen; und Ätzen der PCM-Schicht und der Bit-Leitungsschicht unter Verwendung der ersten Maske und der zweiten Maske als eine erste kombinierte Ätzmaske zum Bilden eines ersten leitfähigen Merkmals, wobei das erste leitfähige Merkmal die Bit-Leitung und ein Bit-Leitungs-Pad aufweist.
  15. Verfahren nach Anspruch 14, wobei das Strukturieren der Wortleitung und des PCM-Streifens umfasst: Bilden einer dritten Maske über der Wortleitungsschicht, wobei Merkmale der dritten Maske eine erste Breite aufweisen; Bilden einer vierten Maske über der PCM-Schicht, wobei Merkmale der vierten Maske eine zweite Breite aufweisen und sich Abschnitte der dritten Maske und der vierten Maske überlappen; und Ätzen der Wortleitungsschicht und des PCM-Streifens unter Verwendung der dritten Maske und der vierten Maske als eine zweite kombinierte Ätzmaske zum Bilden eines zweiten leitfähigen Merkmals, wobei das zweite leitfähige Merkmal die Wortleitung und ein Wortleitungs-Pad aufweist.
  16. Verfahren nach Anspruch 15, wobei das Ätzen der Wortleitungsschicht und des PCM-Streifens umfasst: Ätzen der Wortleitungsschicht mit einer Ionenstrahlätzung unter Verwendung von Schwefelhexafluorid (SF6), Argon (Ar), Sauerstoff (O2) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 20 Sekunden bis 60 Sekunden; und Ätzen des PCM-Streifens und der ersten IMD-Schicht mit einer Ionenstrahlätzung unter Verwendung von Chlor (Cl2), Bromwasserstoff (HBr), Argon (Ar) und Difluormethan (CH2F2) für eine Dauer in einem Bereich von 15 Sekunden bis 75 Sekunden.
  17. Verfahren nach Anspruch 15, ferner umfassend: Aufbringen der Bit-Leitungsschicht an einer ersten leitfähigen Durchkontaktierung, wobei das Bit-Leitungs-Pad die erste leitfähige Durchkontaktierung kontaktiert; und Bilden einer zweiten leitfähigen Durchkontaktierung, welche das Wortleitungs-Pad kontaktiert.
  18. Verfahren nach Anspruch 13, wobei das Strukturieren der Wortleitungsschicht und des PCM-Streifens das Freilegen eines Abschnitts der durch den PCM-Streifen bedeckten Bit-Leitungsschicht umfasst.
  19. Verfahren nach Anspruch 13, wobei das Strukturieren der Wortleitungsschicht und des PCM-Streifens das Vertiefen eines Abschnitts der ersten IMD-Schicht umfasst, wobei die Wortleitung an einem nicht vertieften Abschnitt der ersten IMD-Schicht angeordnet ist.
  20. Verfahren nach Anspruch 19, ferner umfassend: Aufbringen einer zweiten IMD-Schicht rund um die Wortleitung und den nicht vertieften Abschnitt der ersten IMD-Schicht.
DE102020128765.8A 2020-03-30 2020-11-02 Phasenwechselspeichervorrichtung und verfahren Pending DE102020128765A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063001944P 2020-03-30 2020-03-30
US63/001,944 2020-03-30
US17/072,897 US11411181B2 (en) 2020-03-30 2020-10-16 Phase-change memory device and method
US17/072,897 2020-10-16

Publications (1)

Publication Number Publication Date
DE102020128765A1 true DE102020128765A1 (de) 2021-09-30

Family

ID=76711507

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020128765.8A Pending DE102020128765A1 (de) 2020-03-30 2020-11-02 Phasenwechselspeichervorrichtung und verfahren

Country Status (5)

Country Link
US (2) US11411181B2 (de)
KR (1) KR102469447B1 (de)
CN (1) CN113113445B (de)
DE (1) DE102020128765A1 (de)
TW (1) TWI773165B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244722B2 (en) * 2019-09-20 2022-02-08 Arizona Board Of Regents On Behalf Of Arizona State University Programmable interposers for electrically connecting integrated circuits
US11935843B2 (en) 2019-12-09 2024-03-19 Arizona Board Of Regents On Behalf Of Arizona State University Physical unclonable functions with silicon-rich dielectric devices
US11489111B2 (en) * 2021-03-29 2022-11-01 International Business Machines Corporation Reversible resistive memory logic gate device
US11910722B2 (en) * 2021-12-06 2024-02-20 International Business Machines Corporation Subtractive top via as a bottom electrode contact for an embedded memory
KR20240034974A (ko) 2022-09-08 2024-03-15 고려대학교 산학협력단 나노 스케일 필라멘트 히터를 갖는 tip-array 전극 구조 기반의 상변화 메모리 소자 및 이의 제조 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204742A (ja) * 1998-01-20 1999-07-30 Sony Corp メモリ及び情報機器
KR100533958B1 (ko) 2004-01-05 2005-12-06 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
EP1684352B1 (de) * 2005-01-21 2008-09-17 STMicroelectronics S.r.l. Phasenwechselspeicher-Vorrichtung und Verfahren zu ihrer Herstellung
US7122386B1 (en) * 2005-09-21 2006-10-17 Magic Technologies, Inc. Method of fabricating contact pad for magnetic random access memory
WO2008155832A1 (ja) 2007-06-20 2008-12-24 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP5175525B2 (ja) 2007-11-14 2013-04-03 株式会社東芝 不揮発性半導体記憶装置
US8324605B2 (en) 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7858468B2 (en) 2008-10-30 2010-12-28 Micron Technology, Inc. Memory devices and formation methods
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
KR101034975B1 (ko) 2009-07-09 2011-05-19 서울대학교산학협력단 Pram 물질층을 삽입층으로 갖는 rram 셀 및 이를 이용한 rram 어레이
US8765581B2 (en) 2009-11-30 2014-07-01 Micron Technology, Inc. Self-aligned cross-point phase change memory-switch array
JP2011199186A (ja) * 2010-03-23 2011-10-06 Toshiba Corp 不揮発性記憶装置およびその製造方法
JP5636212B2 (ja) 2010-06-02 2014-12-03 株式会社日立製作所 半導体装置およびその製造方法
JP5723253B2 (ja) 2011-01-31 2015-05-27 ルネサスエレクトロニクス株式会社 半導体装置
KR20140042986A (ko) 2012-09-28 2014-04-08 삼성전자주식회사 단위 셀이 단일 소자로 구성된 메모리 소자 및 그 제조방법
US9196352B2 (en) * 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
KR102054181B1 (ko) * 2013-02-26 2019-12-10 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9373663B2 (en) 2013-09-20 2016-06-21 Avalanche Technology, Inc. Landing pad in peripheral circuit for magnetic random access memory (MRAM)
US9385316B2 (en) 2014-01-07 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM retention by depositing Ti capping layer before HK HfO
US9412752B1 (en) * 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9847481B2 (en) 2015-10-27 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
KR102551350B1 (ko) 2016-01-28 2023-07-04 삼성전자 주식회사 수직형 메모리 소자를 구비한 집적회로 소자 및 그 제조 방법
KR102557405B1 (ko) * 2018-08-24 2023-07-20 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
US11245071B2 (en) * 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11152565B2 (en) * 2019-09-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
CN113192929B (zh) * 2020-01-14 2023-07-25 联华电子股份有限公司 电阻式存储器结构及其制作方法
US11411180B2 (en) * 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Phase-change memory device and method

Also Published As

Publication number Publication date
US20220352465A1 (en) 2022-11-03
KR20210122651A (ko) 2021-10-12
TW202205663A (zh) 2022-02-01
TWI773165B (zh) 2022-08-01
CN113113445B (zh) 2024-03-08
CN113113445A (zh) 2021-07-13
US20210305508A1 (en) 2021-09-30
US11997933B2 (en) 2024-05-28
US11411181B2 (en) 2022-08-09
KR102469447B1 (ko) 2022-11-21

Similar Documents

Publication Publication Date Title
DE102020128765A1 (de) Phasenwechselspeichervorrichtung und verfahren
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE102019112891A1 (de) Techniken für die Verbindung einer oberen MRAM-MJT-Elektrode
DE102020133671A1 (de) Dreidimensionale speichervorrichtung und verfahren
DE102019103777B4 (de) Verfahren zum Herstellen von Speicherzellen, die durch eine hohlraumfreie dielektrische Struktur getrennt sind, und zugehöriger integrierter Chip
DE102020124212B4 (de) Dreidimensionale Speichervorrichtung und Verfahren
DE102020128755A1 (de) Speicherarray-treppenstruktur
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE102020130975A1 (de) Ferroelektrische speichervorrichtung und verfahren zum bilden derselben
DE102021111318A1 (de) 3d-speicherarray-kontaktstrukturen
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102020124219A1 (de) Dreidimensionale Speichervorrichtung und Verfahren
DE102020122109A1 (de) Phasenänderungsspeicherbauelement und verfahren
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE102021108598A1 (de) Heterostruktur-oxidhalbleitertransistor mit vertikalem gate-all-around (vgaa) und verfahren zu dessen herstellung
DE102021110537A1 (de) Stapelbarer 3D-Speicher und Verfahren zum Herstellen
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102021119389B4 (de) Halbleiterspeichervorrichtungen und derenherstellungsverfahren
DE102022100089A1 (de) Speicheranordnungsteststruktur und verfahren zum bilden derselben
DE102021102536A1 (de) Dreidimensionales Speicherbauelement und Verfahren
DE102021113390A1 (de) Struktur und verfahren für mram-vorrichtungen mit einer slot-durchkontaktierung
DE102018124810A1 (de) Resistive Direktzugriffsspeichervorrichtung
DE102021101243A1 (de) Speicherblock-kanalregionen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0045000000

Ipc: H10N0070000000

R016 Response to examination communication