DE102020120477A1 - Innenabstandhaltermerkmale für multigate-transistoren - Google Patents

Innenabstandhaltermerkmale für multigate-transistoren Download PDF

Info

Publication number
DE102020120477A1
DE102020120477A1 DE102020120477.9A DE102020120477A DE102020120477A1 DE 102020120477 A1 DE102020120477 A1 DE 102020120477A1 DE 102020120477 A DE102020120477 A DE 102020120477A DE 102020120477 A1 DE102020120477 A1 DE 102020120477A1
Authority
DE
Germany
Prior art keywords
layer
channel
inner spacer
outer layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020120477.9A
Other languages
English (en)
Inventor
Bone-Fong Wu
Chih-Hao Yu
Chia-Pin Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/937,164 external-priority patent/US11289584B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020120477A1 publication Critical patent/DE102020120477A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

Ein Halbleiterbauelement gemäß der vorliegenden Offenbarung weist ein Kanalbauteil, das einen ersten Verbindungsabschnitt, einen zweiten Verbindungsabschnitt und einen Kanalabschnitt, der zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt angeordnet ist, aufweist, ein erstes Innenabstandhaltermerkmal, das über dem ersten Verbindungsabschnitt angeordnet und in Kontakt damit ist, ein zweites Innenabstandhaltermerkmal, das unter dem ersten Verbindungsabschnitt und in Kontakt damit angeordnet ist, und eine Gate-Struktur, die sich um den Kanalabschnitt des Kanalbauteils hüllt, auf. Das Kanalbauteil weist weiter eine erste Rippe an einer Oberseite des Kanalbauteils und bei einer Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet auf. Die erste Rippe erstreckt sich teilweise zwischen dem ersten Innenabstandhaltermerkmal und der Gate-Struktur.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/015,198 , mit dem Titel „INNER SPACER FEATURES FOR MULTI-GATE TRANSISTORS“ (Aktenzeichen 2020-0185 / 24061.4197PV01), eingereicht am 24. April 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • STAND DER TECHNIK
  • Die Industrie für Halbleiter-IC (Halbleiter-Integrated-Circuit) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und dem Design haben Generationen von ICs hervorgebracht, wo jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Zuge der IC-Entwicklung hat sich die funktionale Dichte (d.h. die Zahl verschalteter Bauelemente pro Chipfläche) im Allgemeinen erhöht, während die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Fertigungsprozesses erzeugt werden kann) abgenommen hat. Der Herabskalierungsprozess stellt im Allgemeinen Vorteile bereit, indem die Herstellungseffizienz erhöht wird und die zugehörigen Kosten gesenkt werden. Diese Herabskalierung hat auch die Komplexität der Herstellung und Fertigung von ICs erhöht.
  • Zum Beispiel wurden mit dem Fortschritt von Integrated-Circuit-Technologien (IC-Technologien) hin zu kleineren Technologieknoten Multigate-Bauelemente eingeführt, um die Gate-Steuerung zu verbessern, indem die Gate-Kanalkopplung erhöht wird, der Auszustandsstrom reduziert wird und Kurzkanaleffekte (SCEs) reduziert werden. Ein Multigate-Bauelement bezieht sich auf ein Bauelement, das eine Gate-Struktur oder einen Abschnitt davon über mehr als einer Seite eines Kanalgebiets angeordnet aufweist. Finnen-Feldeffekttransistoren (FinFETs) und Multibrückenkanaltransistoren (MBC-Transistoren) sind Beispiele von Multigate-Bauelementen, die populäre und vielversprechende Kandidaten für höhere Arbeitsleistung und Niederverlustanwendungen sind. Ein FinFET weist einen angehobenen Kanal auf, der an mehr als einer Seite von einem Gate umhüllt ist (zum Beispiel hüllt sich das Gate um eine Oberseite und Seitenwände einer „Finne“ aus Halbleitermaterial, das sich von einem Substrat erstreckt). Ein MBC-Transistor weist eine Gate-Struktur auf, die sich teilweise oder vollständig um ein Kanalgebiet erstrecken kann, um Zugang zu dem Kanalgebiet an zwei oder mehr Seiten bereitzustellen. Weil seine Gate-Struktur die Kanalgebiete umgibt, kann ein MBC-Transistor auch als ein Surrounding-Gate-Transistor (SGT) oder Gate-All-Around-Transistor (GAA) bezeichnet werden. Das Kanalgebiet eines MBC-Transistors kann aus Nanodrähten, Nanofolien oder anderen Nanostrukturen gebildet sein und aus diesen Gründen kann ein MBC-Transistor auch als ein Nanodrahttransistor oder ein Nanoblatttransistor bezeichnet werden.
  • Innenabstandhalter wurden in MBC-Transistoren implementiert, um eine Gate-Struktur von einem epitaktischen Source/Drain-Merkmal zu beabstanden. Das Design von Innenabstandhaltern muss einen schwierigen Mittelweg zwischen ausreichender Ätzbeständigkeit und einer niedrigen Dielektrizitätskonstante finden. In Bezug auf ersteres muss der Innenabstandhalter dem Ätzprozess der Opferschichten widerstehen, um Schäden an den Source/Drain-Merkmalen zu verhindern. In Bezug auf zweiteres tendiert ätzbeständiges dielektrisches Material dazu, eine höher als erwünschte Dielektrizitätskonstante aufzuweisen und die höhere Dielektrizitätskonstantekann zu erhöhter Parasitärkapazität zwischen der Gate-Struktur und den Source/Drain-Merkmalen führen. Deshalb könnten, während herkömmliche Innenabstandhalter im Allgemeinen für deren angedachte Zwecke adäquat sein können, sie nicht in allen Aspekten zufriedenstellend sein.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind und nur zu Veranschaulichungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Ablaufdiagramm eines Verfahrens zur Bildung eines Halbleiterbauelements gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 2-15 veranschaulichen Teilquerschnittansichten eines Werkstücks während eines Fertigungsprozesses gemäß dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 16 veranschaulicht eine vergrößerte Querschnittansicht eines Kanalgebiets eines Halbleiterbauelements gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt einschränkend zu sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind und kann auch Ausführungsformen enthalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, sodass das erste und das zweite Merkmal nicht in direktem Kontakt sein könnten. Zusätzlich kann die vorliegende Offenbarung Referenznummern und/oder -buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Weiter können räumlich relative Ausdrücke wie „unterliegend“, „unterhalb“, „unter“, „überliegend“, „ober“ und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) wie in den Figuren veranschaulicht zu beschreiben. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen des Bauelements in Verwendung oder Betrieb zusätzlich zu der in den Figuren abgebildeten Ausrichtung zu umschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder bei anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Beschreibungsausdrücke können ebenso entsprechend ausgelegt werden. Noch weiter, wenn eine Zahl oder eine Zahlenspanne mit „etwa“, „ungefähr“ und dergleichen beschrieben ist, soll der Ausdruck Zahlen einschließen, die innerhalb +/- 10% der beschriebenen Zahl sind, außer es wird anderes bestimmt. Zum Beispiel schließt der Ausdruck „etwa 5 nm“ die Dimensionsspanne von 4,5 nm bis 5,5 nm ein.
  • Die vorliegende Offenbarung bezieht sich im Allgemeinen auf Multigate-Transistoren und Fertigungsverfahren und insbesondere auf Innenabstandhaltermerkmale eines MBC-Transistors.
  • Wie zuvor beschrieben, können MBC-Transistoren auch als SGTs, GAA-Transistoren, Nanoblatttransistoren oder Nanodrahttransistoren bezeichnet werden. Sie können entweder n oder p sein. MBC-Bauelemente gemäß der vorliegenden Offenbarung können Kanalgebiete in Nanodrahtkanalbauteilen, balkenförmigen Kanalbauteilen, Nanoblattkanalbauteilen, Nanostrukturkanalbauteilen, brückenförmigen Kanalbauteilen und/oder anderen geeigneten Kanalkonfigurationen angeordnet aufweisen. Innenabstandhaltermerkmale wurden zwischen Kanalbauteilen implementiert, um eine Gate-Struktur von einem Source/Drain-Merkmal zu isolieren. Innenabstandhaltermerkmale decken zwei Enden von Opferschichten ab und während des Kanalfreistellprozesses enthalten Innenabstandhaltermerkmale das Ätzen der Opferschichten und verhindern Schaden an Source/Drain-Merkmalen. Aus diesem Grund sollten ideale Innenabstandhaltermerkmale ausreichend Ätzbeständigkeit aufweisen, sodass sie den Ätzprozess zum Entfernen der Opferschichten verlangsamen können. Da eine Dielektrizitätskonstante eines dielektrischen Materials eine zuverlässige Annäherung ihrer Ätzbeständigkeit ist, tendiert ein dielektrisches Material mit guter Ätzbeständigkeit dazu, eine größere Dielektrizitätskonstante aufzuweisen. Andere Bedenken verhindern die Verwendung eines Materials mit hoher Dielektrizitätskonstante (High-k-Material). Zum Beispiel können Innenabstandhaltermerkmale, die aus dielektrischem Material mit hoher Dielektrizitätskonstante (High-k-Material) gebildet sind, zu höherer Parasitärkapazität zwischen der Gate-Struktur und dem Source/Drain-Merkmal führen. Eine Suche nach einem dielektrischen Material mit einer hohen Ätzbeständigkeit und einer niedrigen Dielektrizitätskonstante hat bisher kein vielversprechendes Resultat hervorgebracht und die Industrie hat unterschiedliche alternative Lösungen erforscht.
  • Die vorliegende Offenbarung stellt Ausführungsformen eines Halbleiterbauelements bereit. Das Halbleiterbauelement weist eine Vielzahl von Kanalbauteilen auf, die sich zwischen zwei Source/Drain-Merkmalen erstrecken. Jedes der Kanalbauteile ist in einen Kanalabschnitt, der von einer Gate-Struktur umhüllt ist, und einen Verbindungsabschnitt, der entweder zwischen einer Gate-Abstandhalterschicht und einem Innenabstandhaltermerkmal oder zwischen zwei Innenabstandhaltermerkmalen eingeklemmt ist, unterteilt. Ein Innenabstandhaltermerkmal gemäß der vorliegenden Offenbarung weist eine Innenschicht und eine Außenschicht auf. Eine Dielektrizitätskonstante der Außenschicht ist größer als eine Dielektrizitätskonstante der Innenschicht. Die Außenschicht und die Innenschicht können Silizium, Kohlenstoff, Sauerstoff und Stickstoff enthalten. Ein Sauerstoffgehalt der Außenschicht ist kleiner als ein Sauerstoffgehalt der Innenschicht und ein Stickstoffgehalt der Außenschicht ist größer als ein Stickstoffgehalt der Innenschicht. Ein Abschnitt der Außenschicht, der zu der Gate-Struktur zeigt, kann gemeinsam mit den Opferschichten weggeätzt werden, sodass die Gate-Struktur in Kontakt mit der Innenschicht ist. Die Kanalbauteile der vorliegenden Offenbarung können nicht gerade sein. In manchen Implementierungen kann ein Kanalbauteil einer ersten Rippe und einer gegenüberliegenden zweiten Rippe bei der Grenzfläche zwischen einem Innenabstandhaltermerkmal und der Gate-Struktur aufweisen. In manchen Fällen kann sich die erste Rippe und die zweite Rippe teilweise zwischen dem Innenabstandhaltermerkmal und der Gate-Struktur erstrecken. Mit der Außenschicht können die Innenabstandhaltermerkmale der vorliegenden Offenbarung ausreichend Ätzbeständigkeit aufweisen, um Schaden an den Source/Drain-Merkmalen zu verhindern. Der Abschnitt der Außenschicht zwischen dem Source/Drain-Merkmal und der Gate-Struktur kann entfernt werden. Weil die Dielektrizitätskonstante der Innenschicht kleiner ist als die der Außenschicht, kann das Entfernen des Abschnitts der Außenschicht Parasitärkapazität reduzieren und Bauelementarbeitsleistung verbessern.
  • Die unterschiedlichen Aspekte der vorliegenden Offenbarung werden nun im Detail in Bezug auf die Figuren beschrieben. 1 veranschaulicht ein Ablaufdiagramm eines Verfahrens 100 zur Bildung eines Halbleiterbauelements aus einem Werkstück gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung. Verfahren 100 ist bloß ein Beispiel und nicht beabsichtigt, die vorliegende Offenbarung darauf zu begrenzen, was ausdrücklich in Verfahren 100 gezeigt ist. Zusätzliche Schritte können vor, während und nach Verfahren 100 bereitgestellt sein und manche beschriebenen Schritte können für zusätzliche Ausführungsformen der Verfahren ersetzt, beseitigt oder verschoben werden. Aus Gründen der Einfachheit sind nicht alle Schritte hierin im Detail beschrieben. Verfahren 100 ist unten in Verbindung mit Teilquerschnittansichten des Werkstücks bei verschiedenen Fertigungsphasen gemäß Ausführungsformen von Verfahren 100 beschrieben.
  • In Bezug auf 1 und 2 umfasst Verfahren 100 einen Block 102, wo ein Werkstück 200 bereitgestellt ist. Es ist zu beachten, dass weil das Werkstück 200 zu einem Halbleiterbauelement gefertigt wird, das Werkstück 200 auch als das Halbleiterbauelement 200 bezeichnet werden kann, je wie es der Kontext benötigt. Das Werkstück 200 kann ein Substrat 202 aufweisen. Obwohl nicht ausdrücklich in den Figuren gezeigt, kann das Substrat 202 einen n-Wannenbereich und einen p-Wannenbereich zur Fertigung von Transistoren verschiedener Leitfähigkeiten aufweisen. In einer Ausführungsform kann das Substrat 202 ein Siliziumsubstrat (Si-Substrat) sein. In manchen anderen Ausführungsformen kann das Substrat 202 andere Halbleiter enthalten, wie Germanium (Ge), Siliziumgermanium (SiGe) oder III-V-Halbleitermaterial. Beispielhafte III-V-Halbleitermaterialien können Galliumarsenid (GaAs), Indiumphosphid (InP), Galliumphosphid (GaP), Galliumnitrid (GaN), Galliumarsenidphosphid (GaAsP), Aluminiumindiumarsenid (AlInAs), Aluminiumgalliumarsenid (AlGaAs), Galliumindiumphosphid (GaInP) und Indiumgalliumarsenid (InGaAs) umfassen. Das Substrat 202 kann auch eine Isolierschicht, wie eine Siliziumoxidschicht, aufweisen, um eine Siliziumauf-Isolator-Struktur (SOI-Struktur) aufzuweisen. Wenn vorhanden, ist sowohl die n-Wanne als auch die p-Wanne in dem Substrat 202 gebildet und weist ein Dotierungsprofil auf. Eine n-Wanne kann ein Dotierungsprofil eines n-Dotierstoffs, wie Phosphor (P) oder Arsen (As), aufweisen. Eine p-Wanne kann ein Dotierstoffprofil eines p-Dotierstoffs, wie Bor (B), aufweisen. Die Dotierung in der n-Wanne und der p-Wanne kann unter Verwendung von Ionenimplantierung oder Wärmediffusion gebildet werden und kann als Abschnitte des Substrats 202 betrachtet werden. Um Missverständnisse zu vermeiden, die X-Richtung, die Y-Richtung und die Z-Richtung sind senkrecht zueinander.
  • Wie in 2 gezeigt, weist das Werkstück 200 auch einen Stapel 204 über dem Substrat 202 angeordnet auf. Der Stapel 204 weist eine Vielzahl von Kanalschichten 208 durch eine Vielzahl von Opferschichten 206 verschachtelt auf. Die Kanalschichten 208 und die Opferschichten 206 können verschiedene Halbleiterzusammensetzungen aufweisen. In manchen Implementierungen sind die Kanalschichten 208 aus Silizium (Si) gebildet und Opferschichten 206 sind aus Siliziumgermanium (SiGe) gebildet. In diesen Implementierungen gestattet der zusätzliche Germaniumgehalt in den Opferschichten 206 selektives Entfernen oder Vertiefen der Opferschichten 206, ohne wesentliche Schäden an den Kanalschichten 208. In manchen Ausführungsformen können die Opferschichten 206 und Kanalschichten 208 unter Verwendung eines epitaktischen Prozesses abgeschieden werden. Geeignete epitaktische Prozesse umfassen Gasphasenepitaxie (VPE), Ultrahochvakuum-chemische-Gasphasenabscheidung (UHV-CVD), Molekularstrahlepitaxie (MBE) und/oder andere geeignete Prozesse. Wie in 2 gezeigt sind die Opferschichten 206 und die Kanalschichten 208 abwechselnd, eine nach der anderen, abgeschieden, um den Stapel 204 zu bilden. Es ist zu beachten, dass drei (3) Schichten der Opferschichten 206 und drei (3) Schichten der Kanalschichten 208 abwechselnd und vertikal wie in 3 veranschaulicht eingerichtet sind, die nur für veranschaulichende Zwecke dienen und nicht beabsichtigt sind, über das ausdrücklich in den Ansprüchen genannte hinaus begrenzend zu wirken. Es wird begrüßt werden, dass eine beliebige Zahl von Opferschichten und Kanalschichten in dem Stapel 204 gebildet werden kann. Die Zahl von Schichten hängt von der gewünschten Zahl von Kanalbauteilen für das Bauelement 200 ab. In manchen Ausführungsformen ist die Zahl der Kanalschichten 208 zwischen 2 und 10. Zu Strukturierungszwecken kann eine Hartmaskenschicht 210 über dem Stapel 204 abgeschieden werden. Die Hartmaskenschicht 210 kann eine einzelne Schicht oder eine Multischicht sein. In einem Beispiel weist die Hartmaskenschicht 210 eine Siliziumoxidschicht und eine Siliziumnitridschicht auf.
  • In Bezug auf 1 und 3 umfasst Verfahren 100 einen Block 104, wo eine finnenförmige Struktur 212 aus dem Stapel 204 gebildet ist. In manchen Ausführungsformen sind der Stapel 204 und ein Abschnitt des Substrats 202 strukturiert, um die finnenförmige Struktur 212 zu bilden. Wie in 3 gezeigt, erstreckt sich die finnenförmige Struktur 212 vertikal entlang der Z-Richtung von dem Substrat 202. Die finnenförmige Struktur 212 weist einen Basisabschnitt aus dem Substrat 202 gebildet und einen Stapelabschnitt aus dem Stapel 204 gebildet auf. Die finnenförmige Struktur 212 kann unter Verwendung geeigneter Prozesse strukturiert werden, die Doppelstrukturierungs- oder Multistrukturierungsprozesse umfassen. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Multistrukturierungsprozesse Fotolithografie- und selbstausgerichtete Prozesse, was gestattet Strukturen zu erzeugen, die zum Beispiel kleinere Abstände aufweisen, als sonst unter Verwendung eines einzelnen direkten Fotolithografieprozesses erhältlich wären. Zum Beispiel wird in einer Ausführungsform eine Materialschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandhalter sind entlang der strukturierten Materialschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Materialschicht wird dann entfernt und die restlichen Abstandhalter, oder Spindeln, können dann verwendet werden, um die finnenförmige Struktur 212 zu strukturieren, indem der Stapel 204 und das Substrat 202 geätzt werden. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE) und/oder andere geeignete Prozesse umfassen.
  • Wie in 3 gezeigt, können Betriebe bei Block 104 auch Bildung eines Isolierungsmerkmals 214 angrenzend an und um den Basisabschnitt der finnenförmigen Struktur 212 umfassen. Das Isolierungsmerkmal 214 ist zwischen der finnenförmigen Struktur 212 und einer anderen finnenförmigen Struktur 212 angeordnet. Das Isolierungsmerkmal 214 kann auch als ein Grabenisolierungsmerkmal (STI-Merkmal) 214 bezeichnet werden. In einem Beispielprozess wird eine dielektrische Schicht zuerst über dem Werkstück 200 abgeschieden, wobei die Gräben zwischen der finnenförmigen Struktur 212 und einer benachbarten finnenförmigen Struktur mit dem dielektrischen Material gefüllt werden. In manchen Ausführungsformen kann die dielektrische Schicht Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein Low-k-Dielektrikum, Kombinationen davon und/oder andere geeignete Materialien enthalten. In unterschiedlichen Beispielen kann die dielektrische Schicht durch einen CVD-Prozess, einen subatmosphärischen CVD-Prozess (SACVD-Prozess), einen fließbaren CVD-Prozess, einen Atomschichtabscheidungsprozess (ALD-Prozess), einen physikalischen Gasphasenabscheidungsprozess (PVD-Prozess), Spin-on-Beschichtung und/oder anderen geeigneten Prozess abgeschieden werden. Das abgeschiedene dielektrische Material wird dann gedünnt und planarisiert, zum Beispiel durch einen chemischmechanischen Polierprozess (CMP-Prozess). Die planarisierte dielektrische Schicht wird weiter durch einen Trockenätzprozess, einen Nassätzprozess und/oder eine Kombination davon vertieft, um das Isolierungsmerkmal 214 zu bilden. Wie in 3 gezeigt, erhebt sich der Stapelabschnitt der finnenförmigen Struktur 212 über das Isolierungsmerkmal 214. Obwohl nicht ausdrücklich in 3 gezeigt, kann die Hartmaskenschicht 210 auch während der Bildung des Isolierungsmerkmals 214 entfernt werden.
  • In Bezug auf 1, 4 und 5 umfasst das Verfahren 100 einen Block 106, wo ein Dummy-Gate-Stapel 220 über der finnenförmigen Struktur 212 gebildet wird. In manchen Ausführungsformen wird ein Gate-Ersatzprozess (oder Gate-last-Prozess) angenommen, wo der Dummy-Gate-Stapel 220 als Platzhalter für eine funktionale Gate-Struktur dient. Andere Prozesse und Konfigurationen sind möglich. In manchen Ausführungsformen wird der Dummy-Gate-Stapel 220 über dem Isolierungsmerkmal 214 gebildet und wird zumindest teilweise über den finnenförmigen Strukturen 212 angeordnet. Wie in 4 gezeigt, erstreckt sich der Dummy-Gate-Stapel 220 längs entlang der Y-Richtung, um sich über die finnenförmige Struktur 212 zu hüllen. Der Dummy-Gate-Stapel 220 weist eine dielektrische Dummy-Schicht 216 und eine Dummy-Gate-Elektrode 218 auf. Um zu veranschaulichen, wie der Dummy-Gate-Stapel 220 über der finnenförmigen Struktur 212 angeordnet wird, ist eine Querschnittansicht entlang des Querschnitts A-A' in 5 bereitgestellt. Wie in 5 gezeigt, ist der Abschnitt der finnenförmigen Struktur 212, der unter dem Dummy-Gate-Stapel 220 liegt, ein Kanalgebiet 202C. Das Kanalgebiet 202C und der Dummy-Gate-Stapel 220 definieren auch Source/Drain-Gebiete 202SD, die nicht vertikal von dem Dummy-Gate-Stapel 220 überlappt sind. Das Kanalgebiet 202C ist zwischen zwei Source/Drain-Gebieten 202SD angeordnet. Es ist zu beachten, dass weil die Querschnittansicht in 5 durch die finnenförmige Struktur 212 schneidet, das Isolierungsmerkmal 214 nicht in 5 gezeigt ist.
  • In manchen Ausführungsformen ist der Dummy-Gate-Stapel 220 durch unterschiedliche Prozessschritte gebildet, wie Schichtabscheidung, Strukturierung, Ätzen, wie auch andere geeignete Bearbeitungsschritte. Beispielhafte Schichtabscheidungsprozesse umfassen Niederdruck-CVD (LPCVD), CVD, plasmaverstärkte CVD (PECVD), PVD, ALD, Wärmeoxidation, Elektronenstrahlverdampfung oder andere geeignete Abscheidungstechniken oder Kombinationen davon. Zum Beispiel kann der Strukturierungsprozess einen Lithografieprozess (z.B. Fotolithografie oder Elektronenstrahllithografie) umfassen, der weiter Fotolackbeschichtung (z.B. Spin-on-Beschichtung), Weichbrennen, Maskenausrichtung, Belichtung, Nachbelichtungsbrennen, Fotolackentwicklung, Spülung, Trocknung (z.B. Schleudertrocknung und/oder Aushärtung), andere geeignete Lithografietechniken und/oder Kombinationen davon umfassen kann. In manchen Ausführungsformen kann der Ätzprozess Trockenätzen (z.B. RIE-Ätzen), Nassätzen und/oder andere Ätzverfahren umfassen. In einem Beispielprozess werden die dielektrische Dummy-Schicht 216, eine Dummy-Elektrodenschicht für die Dummy-Gate-Elektrode 218 und eine Gate-Oberseitenhartmaskenschicht 222 nacheinander über dem Werkstück 200 abgeschieden, umfassend über der finnenförmigen Struktur 212. In manchen Instanzen kann die Gate-Oberseitenhartmaskenschicht 222 eine Multischicht sein und kann eine erste Hartmaske 223 und eine zweite Hartmaske 224 über der ersten Hartmaske 223 aufweisen. Die erste Hartmaske 223 kann Siliziumoxid enthalten und die zweite Hartmaske 224 kann Siliziumnitrid enthalten. Die Abscheidung kann unter Verwendung eines der zuvor erwähnten beispielhaften Schichtabscheidungsprozesse erfolgen. Die dielektrische Dummy-Schicht 216 und die Dummy-Elektrodenschicht werden dann unter Verwendung von Fotolithografieprozessen strukturiert, um den Dummy-Gate-Stapel 220 zu bilden. In manchen Ausführungsformen kann die dielektrische Dummy-Schicht 216 Siliziumoxid enthalten und die Dummy-Gate-Elektrode 218 kann polykristallines Silizium (Polysilizium) enthalten.
  • Nach der Bildung des Dummy-Gate-Stapels 220 wird eine Gate-Abstandhalterschicht 226 entlang Seitenwänden des Dummy-Gate-Stapels 220 gebildet. In manchen Ausführungsformen umfasst die Bildung der Gate-Abstandhalterschicht 226 konforme Abscheidung einer oder mehrerer dielektrischer Schichten über dem Werkstück 200 und Zurückätzen der Gate-Abstandhalterschicht 226 von nach oben zeigenden Oberflächen des Werkstücks 200. In einem Beispielprozess werden die eine oder mehreren dielektrischen Schichten unter Verwendung von CVD, SACVD oder ALD abgeschieden und in einem anisotropen Ätzprozess zurückgeätzt, um die Gate-Abstandhalterschicht 226 zu bilden. Die Gate-Abstandhalterschicht 226 kann Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid, Siliziumcarbonitrid, Siliziumoxycarbid, Siliziumoxycarbonitrid und/oder Kombinationen davon enthalten.
  • In Bezug auf 1 und 6 umfasst Verfahren 100 einen Block 108, wo Source/Drain-Gräben 228 in der finnenförmigen Struktur 212 gebildet werden. In den in 6 dargestellten Ausführungsformen werden die Source/Drain-Gebiete 202SD der finnenförmigen Struktur 212, die nicht durch die Gate-Oberseitenhartmaskenschicht 222 und die Gate-Abstandhalterschicht 226 maskiert sind, vertieft, um die Source/Drain-Gräben 228 zu bilden. Der Ätzprozess bei Block 108 kann ein Trockenätzprozess oder ein geeigneter Ätzprozess sein. Zum Beispiel kann der Trockenätzprozess ein sauerstoffhaltiges Gas, Wasserstoff, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon implementieren. Wie in 6 gezeigt, werden Seitenwände der Opferschichten 206 und der Kanalschichten 208 in den Source/Drain-Gräben 228 freigelegt.
  • In Bezug auf 1 und 7 umfasst Verfahren 100 einen Block 110, wo Innenabstandhaltervertiefungen 230 gebildet werden. Bei Block 110 werden die Opferschichten 206, die in den Source/Drain-Gräben 228 freigelegt sind, selektiv und teilweise vertieft, um Innenabstandhaltervertiefungen 230 zu bilden, während die freigelegten Kanalschichten 208 mäßig geätzt werden. In einer Ausführungsform, wo die Kanalschichten 208 im Wesentlichen aus Silizium (si) bestehen und Opferschichten 206 im Wesentlichen aus Siliziumgermanium (SiGe) bestehen, kann die selektive und teilweise Vertiefung der Opferschichten 206 einen SiGe-Oxidationsprozess gefolgt von einer SiGe-Oxidentfernung umfassen. In diesen Ausführungsformen kann der SiGe-Oxidationsprozess Verwendung von Ozon (O3) umfassen. In manchen anderen Ausführungsformen kann die selektive Vertiefung ein selektiver, isotroper Ätzprozess (z.B. ein selektiver Trockenätzprozess oder ein selektiver Nassätzprozess) sein und die Abmessung, in dem die Opferschichten 206 vertieft werden, wird durch die Dauer des Ätzprozesses gesteuert. Der selektive Trockenätzprozess kann Verwendung eines oder mehrerer fluorbasierter Ätzmittel umfassen, wie Fluorgas oder Hydrofluorkohlenstoffe. Der selektive Nassätzprozess kann ein Hydrofluorid (HF) oder NH4OH-Ätzmittel umfassen. Wie in 7 gezeigt, können die Kanalschichten 208 bei Block 110 mäßig geätzt werden und die Innenabstandhaltervertiefungen 230 können sich teilweise entlang der Z-Richtung in die Kanalschichten 208 erstrecken. Jede der Innenabstandhaltervertiefungen 230 weist eine Tiefe (entlang der X-Richtung) zwischen etwa 2 nm und etwa 5 nm und eine Höhe (entlang der Z-Richtung) zwischen etwa 7 nm und etwa 12 nm auf. Anders ausgedrückt, jede der Innenabstandhaltervertiefungen 230 weist eine Höhe auf, die größer als ihre Tiefe ist.
  • In Bezug auf 1 und 8 umfasst Verfahren 100 einen Block 112, wo eine erste Abstandhaltermaterialschicht 232 über dem Werkstück 200 gebildet wird. Die erste Abstandhaltermaterialschicht 232 kann unter Verwendung von ALD abgeschieden werden und kann Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Stickstoff enthalten. In manchen Ausführungsformen kann die erste Abstandhaltermaterialschicht 232 Siliziumoxycarbonitrid enthalten und einen Siliziumgehalt zwischen etwa 30% und etwa 50%, einen Kohlenstoffgehalt zwischen etwa 5% und etwa 15%, einen Sauerstoffgehalt zwischen etwa 5% und etwa 15% und einen Stickstoffgehalt zwischen etwa 40% und etwa 60% enthalten. In manchen alternativen Ausführungsformen kann die erste Abstandhaltermaterialschicht 232 Siliziumcarbonitrid enthalten. Weil der Stickstoffgehalt zwischen etwa 40% und etwa 60% ist, weist die erste Abstandhaltermaterialschicht 232 eine erste Dielektrizitätskonstante zwischen etwa 5 und etwa 8 und eine erste Dichte zwischen etwa 2 g/cm3 und etwa 4 g/cm3 auf. Die erste Abstandhaltermaterialschicht 232 wird zu einer Dicke zwischen etwa 0,5 nm und etwa 2 nm abgeschieden. Die Dicke der ersten Abstandhaltermaterialschicht 232 wird so gewählt, dass sie ausreichend dick ist, um Schäden an den Source/Drain-Merkmalen während Kanalfreistellprozessen zu verhindern, und gleichzeitig dünn genug ist, um gemeinsam mit den Opferschichten 206 nach dem Kanalfreistellprozess entfernt zu werden.
  • In Bezug auf 1 und 9 umfasst Verfahren 100 einen Block 114, wo eine zweite Abstandhaltermaterialschicht 234 über der ersten Abstandhaltermaterialschicht 232 gebildet wird. Die zweite Abstandhaltermaterialschicht 234 kann unter Verwendung von ALD abgeschieden werden. Obwohl die zweite Abstandhaltermaterialschicht 234 auch Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Stickstoff enthalten kann, wie die erste Abstandhaltermaterialschicht 232, unterscheidet sich die Zusammensetzung der zweiten Abstandhaltermaterialschicht 234 von der Zusammensetzung der ersten Abstandhaltermaterialschicht 232. In manchen Ausführungsformen kann die zweite Abstandhaltermaterialschicht 234 Siliziumoxycarbonitrid enthalten und einen Siliziumgehalt zwischen etwa 30% und etwa 50%, einen Kohlenstoffgehalt zwischen etwa 5% und etwa 15%, einen Sauerstoffgehalt zwischen etwa 40% und etwa 60% und einen Stickstoffgehalt zwischen etwa 10% und etwa 20% enthalten. In diesen Ausführungsformen ist der Sauerstoffgehalt der zweiten Abstandhaltermaterialschicht 234 größer als der der ersten Abstandhaltermaterialschicht 232 und der Stickstoffgehalt der zweiten Abstandhaltermaterialschicht 234 ist kleiner als der der ersten Abstandhaltermaterialschicht 232. In manchen alternativen Ausführungsformen kann die zweite Abstandhaltermaterialschicht 234 Siliziumoxycarbid, poröses Siliziumoxycarbid oder fluordotiertes Siliziumoxid enthalten. Weil der Sauerstoffgehalt zwischen etwa 40% und etwa 60% ist, weist die zweite Abstandhaltermaterialschicht 234 eine zweite Dielektrizitätskonstante zwischen etwa 1,5 und etwa 4 und eine zweite Dichte zwischen etwa 1 g/cm3 und etwa 3 g/cm3 auf. Zum Vergleich, die erste Dielektrizitätskonstante der ersten Abstandhaltermaterialschicht 232 ist größer als die zweite Dielektrizitätskonstante der zweiten Abstandhaltermaterialschicht 234. Zusätzlich ist die erste Dichte der ersten Abstandhaltermaterialschicht 232 größer als die zweite Dichte der zweiten Abstandhaltermaterialschicht 234. Die zweite Abstandhaltermaterialschicht 234 kann dicker als die erste Abstandhaltermaterialschicht 232 sein. In manchen Implementierungen kann die zweite Abstandhaltermaterialschicht 234 zwischen etwa 1 nm und etwa 3 nm sein.
  • In Bezug auf 1 und 10 umfasst Verfahren 100 einen Block 116, wo die erste Abstandhaltermaterialschicht 232 und die zweite Abstandhaltermaterialschicht 234 zurückgeätzt werden, um Innenabstandhaltermerkmale 240 zu bilden. Bei Block 116 entfernt der Zurückätzprozess die erste Abstandhaltermaterialschicht 232 und die zweite Abstandhaltermaterialschicht 234 auf den Kanalschichten 208, dem Substrat 202 und der Gate-Abstandhalterschicht 226, um die Innenabstandhaltermerkmale 240 in den Innenabstandhaltervertiefungen 230 zu bilden. In manchen Ausführungsformen kann der Zurückätzprozess bei Block 116 ein Trockenätzprozess sein, der Verwendung eines sauerstoffhaltigen Gases, von Wasserstoff, Stickstoff, eines fluorhaltigen Gases (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (z.B. HBr und/oder CHBR3), eines iodhaltigen Gases (z.B. CF3I), anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen davon umfasst. Wie zuvor beschrieben, weist jede der Innenabstandhaltervertiefungen 230 eine Tiefe (entlang der X-Richtung) zwischen etwa 2 nm und etwa 5 nm und eine Höhe (entlang der Z-Richtung) zwischen etwa 7 nm und etwa 12 nm auf. Weil jedes der Innenabstandhaltermerkmale 240 in eine Innenabstandhaltervertiefung 230 gebildet wird, kann jedes der Innenabstandhaltermerkmale auch eine Tiefe (entlang der X-Richtung) zwischen etwa 2 nm und etwa 5 nm und eine Höhe (entlang der Z-Richtung) zwischen etwa 7 nm und etwa 12 nm aufweisen. Anders ausgedrückt, jedes der Innenabstandhaltermerkmale 240 weist eine Höhe (entlang der Z-Richtung) auf, die größer als seine Tiefe (entlang der X-Richtung) ist. Wie in 10 veranschaulicht, weißt jedes der Innenabstandhaltermerkmale 240 eine Außenschicht, die aus der ersten Abstandhaltermaterialschicht 232 gebildet ist, und eine Innenschicht, die aus der zweiten Abstandhaltermaterialschicht 234 gebildet ist, auf. Zur Erleichterung der Bezugnahme teilt sich die Außenschicht dasselbe Bezugszeichen mit der ersten Abstandhaltermaterialschicht 232 und die Innenschicht teilt sich dasselbe Bezugszeichen mit der zweiten Abstandhaltermaterialschicht 234. Bei Abschluss der Betriebe bei Block 116 umklammert die Außenschicht 232 die Innenschicht 234 und beabstandet die Innenschicht 234 von den Kanalschichten 208 und den Opferschichten 206.
  • In Bezug auf 1 und 11 umfasst Verfahren 100 einen Block 118, wo Source/Drain-Merkmale 242 in den Source/Drain-Gräben 228 gebildet werden. In manchen Ausführungsformen können die Source/Drain-Merkmale 242 unter Verwendung eines epitaktischen Prozesses gebildet werden, wie VPE, UHV-CVD, MBE und/oder anderen geeigneten Prozessen. Der epitaktische Wachstumsprozess kann gasförmige und/oder flüssige Vorprodukte verwenden, die mit der Zusammensetzung des Substrats 202, wie auch den Kanalschichten 208 interagieren. Abhängig von dem Leitfähigkeitstyp des zu bildenden MBC-Transistors können die Source/Drain-Merkmale 242 n-Source/Drain-Merkmale oder p-Source/Drain-Merkmale sein. Beispielhafte n-Source/Drain-Merkmale können Si, GaAs, GaAsP, SiP oder anderes geeignetes Material enthalten und können während des epitaktischen Prozesses durch Einleiten eines n-Dotierstoffs, wie Phosphor (P), Arsen (As), in-situ dotiert werden, oder unter Verwendung eines Implantierungsprozesses (d.h. eines Übergangsimplantierungsprozesses) ex-situ dotiert werden. Beispielhafte p-Source/Drain-Merkmale können Si, Ge, AlGaAs, SiGe, bordotiertes SiGe oder anderes geeignetes Material enthalten und können während des epitaktischen Prozesses durch Einleiten eines n-Dotierstoffs, wie Phosphor (P), Arsen (As), in-situ dotiert werden, oder unter Verwendung eines Implantierungsprozesses (d.h. eines Übergangsimplantierungsprozesses) ex-situ dotiert werden.
  • In Bezug auf 1 und 12 umfasst Verfahren 100 einen Block 120, wo eine Kontaktätzstoppschicht (CESL) 244 und eine Zwischenschichtdielektrikumschicht (ILD-Schicht) 246 über dem Werkstück 200 abgeschieden werden. Die CESL 244 kann Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid und/oder andere am Stand der Technik bekannte Materialien enthalten und kann durch ALD, plasmaverstärkten chemischen Gasphasenabscheidungsprozess (PECVD-Prozess) und/oder andere geeignete Abscheidungs- oder Oxidationsprozesse gebildet werden. Wie in 12 gezeigt, kann die CESL 244 auf Oberseiten der Source/Drain-Merkmale 242 und entlang Seitenwänden der Gate-Abstandhalterschicht 226 abgeschieden werden. Obwohl die CESL 244 auch über der Oberseite der Gate-Abstandhalterschicht 226 und der Oberseitenhartmaskenschicht 222 abgeschieden wird, veranschaulicht 12 nur Querschnittansichten, nachdem die Gate-Oberseitenhartmaskenschicht 222 entfernt worden ist. Block 120 umfasst auch Abscheiden der ILD-Schicht 246 über der CESL 244. In manchen Ausführungsformen enthält die ILD-Schicht 246 Materialien, wie Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid, wie Borophosphosilikatglas (BPSG), Quarzglas (FSG), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien. Die ILD-Schicht 246 kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidungstechnik abgeschieden werden. In manchen Ausführungsformen kann nach Bildung der ILD-Schicht 246 das Werkstück 200 getempert werden, um eine Integrität der ILD-Schicht 246 zu verbessern. Um überschüssige Materialien zu entfernen und Oberseiten der Dummy-Gate-Stapel 220 freizulegen, kann ein Planarisierungsprozess, wie ein chemischmechanischer Polierprozess (CMP-Prozess) durchgeführt werden, wie in 12 veranschaulicht. Die Gate-Oberseitenhartmaskenschicht 222 wird durch den Planarisierungsprozess entfernt.
  • In Bezug auf 1 und 13 umfasst Verfahren 100 einen Block 122, wo der Dummy-Gate-Stapel 220 entfernt wird. In manchen Ausführungsformen resultiert das Entfernen des Dummy-Gate-Stapels 220 in einem Gate-Graben 248 über den Kanalgebieten 202C. Eine Gate-Struktur 250 (die unten beschrieben wird) kann nachfolgend in dem Gate-Graben 248 gebildet werden, wie unten beschrieben wird. Das Entfernen des Dummy-Gate-Stapels 220 kann einen oder mehrere Ätzprozesse umfassen, die für das Material in dem Dummy-Gate-Stapel 220 selektiv sind. Zum Beispiel kann das Entfernen des Dummy-Gate-Stapels 220 unter Verwendung eines selektiven Nassätzens, eines selektiven Trockenätzens oder einer Kombination davon durchgeführt werden. Nach dem Entfernen des Dummy-Gate-Stapels 220 werden Seitenwände der Kanalschichten 208 und Opferschichten 206 in den Kanalgebieten 202C in dem Gate-Graben 248 freigelegt.
  • In Bezug auf 1 und 14 umfasst Verfahren 100 einen Block 124, wo die Opferschichten 206 in dem Kanalgebiet 202C selektiv entfernt werden, um die Kanalbauteile 2080 freizustellen. Nach dem Entfernen des Dummy-Gate-Stapels 220 kann Block 124 von Verfahren 100 Betriebe umfassen, um selektiv die Opferschichten 206 zwischen den Kanalschichten 208 in den Kanalgebieten 202C zu entfernen. Das selektive Entfernen der Opferschichten 206 stellt die Kanalschichten 208 frei, um Kanalbauteile 2080 zu bilden. Das selektive Entfernen der Opferschichten 206 kann durch selektives Trockenätzen, selektives Nassätzen oder andere selektive Ätzprozesse implementiert werden. In manchen Ausführungsformen umfasst das selektive Nassätzen ein APM-Ätzen (z.B. Ammoniumhydroxidwasserstoff-Peroxidwasser-Gemisch). In manchen Ausführungsformen umfasst das selektive Entfernen SiGe-Oxidation, gefolgt von einem Siliziumgermaniumoxid-Entfernen. Zum Beispiel kann die Oxidation durch Ozonreinigung bereitgestellt werden und dann Siliziumgermaniumoxid durch ein Ätzmittel wie NH4OH entfernt werden. Wie in 14 gezeigt, kann, obwohl das selektive Entfernen der Opferschichten 206 bei Block 124 selektiv ist, es die Kanalbauteile 2080 immer noch mäßig ätzen, was Dicken der Kanalbauteile 2080 entlang der Z-Richtung reduziert. Dieses mäßige Ätzen der Kanalbauteile 2080 kann Zwischenbauteilöffnungen 249 bilden. wenn entlang der Längsrichtung des Dummy-Gate-Stapels 220 (entlang der Y-Richtung) betrachtet, weist jede der Zwischenbauteilöffnungen 249 eine rennspurähnliche Form auf. Gemäß den vorliegenden Offenbarungen entfernt das selektive Ätzen der Opferschichten 206 auch die Außenschicht 232, die an die Zwischenbauteilöffnungen 249 angrenzt, wodurch die Innenschicht 234 in den Zwischenbauteilöffnungen 249 freigelegt wird. Betriebe bei Block 124 entfernen die Abschnitte der Außenschicht 232 zwischen der Innenschicht 234 und den Kanalbauteilen 2080 nicht. Als Resultat bleibt die Innenschicht 234 von den Kanalbauteilen 2080 um die Außenschicht 232 beabstandet.
  • In Bezug auf 1 und 15 umfasst Verfahren 100 einen Block 126, wo eine Gate-Struktur 250 über den und um die Kanalbauteile(n) 2080 gebildet wird, umfassend in die Zwischenbauteilöffnungen 249 (in 14 gezeigt). Bei Block 126 wird die Gate-Struktur 250 innerhalb des Gate-Grabens 248 (in 14 gezeigt) über dem Werkstück 200 gebildet und in die Zwischenbauteilöffnungen 249 abgeschieden, die vom Entfernen der Opferschichten 206 in den Kanalgebieten 202C zurückgelassen werden. In diesem Zusammenhang hüllt sich die Gate-Struktur 250 um jedes der Kanalbauteile 2080 auf der Y-Z-Ebene. In manchen Ausführungsformen weist die Gate-Struktur 250 eine dielektrische Gate-Schicht 252 und eine Gate-Elektrode 254 über der dielektrischen Gate-Schicht 252 gebildet auf. In einem Beispielprozess kann Bildung der Gate-Struktur 250 Abscheidung der dielektrischen Gate-Schicht 252, Abscheidung der Gate-Elektrode 254 und einen Planarisierungsprozess, um überschüssiges Material zu entfernen, umfassen.
  • In manchen Ausführungsformen kann die dielektrische Gate-Schicht 252 eine Grenzschicht und eine dielektrische High-k-Schicht aufweisen. High-k-Gate-Dielektrika, wie hierin verwendet und beschrieben, enthalten dielektrische Materialien, die eine hohe Dielektrizitätskonstante, zum Beispiel größer als die von Wärmesiliziumoxid (~3,9), aufweisen. Die Grenzschicht kann ein dielektrisches Material enthalten, wie Siliziumoxid, Hafniumsilikat oder Siliziumoxynitrid. Die Grenzschicht kann unter Verwendung von chemischer Oxidation, Wärmeoxidation, ALD, CVD und/oder einem anderen geeigneten Verfahren abgeschieden werden. Die High-k-Dielektrikum-Schicht kann eine High-k-Dielektrikum-Schicht wie Hafniumoxid enthalten. Alternativ kann die High-k-Dielektrikum-Schicht andere High-k-Dielektrika enthalten, wie Titanoxid (Ti02), Hafniumzirconiumoxid (HfZrO), Tantaloxid (Ta2O5), Hafniumsiliziumoxid (HfSiO4), Zirconiumoxid (Zr02), Zirconiumsiliziumoxid (ZrSiO2), Lanthanumoxid (La2O3), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafniumlanthanumoxid (HfLaO), Lanthanumsiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Kombinationen davon oder ein anderes geeignetes Material. Die High-k-Dielektrikum-Schicht kann durch ALD, physikalische Gasphasenabscheidung (PVD), CVD, Oxidation und/oder andere geeignete Verfahren gebildet werden.
  • Die Gate-Elektrode 254 der Gate-Struktur 250 kann eine einzelne Schicht oder alternativ eine Multischichtstruktur aufweisen, wie unterschiedliche Kombinationen einer Metallschicht mit einer ausgewählten Austrittsarbeit, um die Bauelementarbeitsleistung zu verstärken (Austrittsarbeitsmetallschicht), einer Auskleidungsschicht, einer Benetzungsschicht, einer Haftschicht, einer Metalllegierung oder eines Metallsilizids. Als Beispiel kann die Gate-Elektrode 254 Titannitrid (TiN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Tantalnitrid (TaN), Tantalaluminium (TaAl), Tantalaluminiumnitrid (TaAlN), Tantalaluminiumcarbid (TaAlC), Tantalcarbonitrid (TaCN), Aluminium (Al), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt), Tantalcarbid (TaC), Tantalsiliziumnitrid (TaSiN), Kupfer (Cu), andere hitzebeständige Metalle oder andere geeignete Metallmaterialien oder Kombinationen davon enthalten. In unterschiedlichen Ausführungsformen kann die Gate-Elektrode 254 durch ALD, PVD, CVD, Elektronenstrahlverdampfung oder einen anderen geeigneten Prozess gebildet werden. Weiter kann die Gate-Elektrode separat für n-Transistoren und p-Transistoren gebildet werden, die verschiedene Metallschichten (z.B. zum Bereitstellen verschiedener n- und p-Austrittsarbeitsmetallschichten) verwenden können. In unterschiedlichen Ausführungsformen kann ein Planarisierungsprozess, wie ein CMP-Prozess, durchgeführt werden, um überschüssige Materialien für sowohl die dielektrische Gate-Schicht 252 als auch die Gate-Elektrode 254 zu entfernen und dadurch eine im Wesentlichen planare Oberseite der Gate-Struktur 250 bereitzustellen. In manchen Ausführungsformen ist, weil die Innenschichten 234 der Innenabstandhaltermerkmale 240 in den Zwischenbauteilöffnungen 249 (in 14 gezeigt) freigelegt sind und die Gate-Struktur 250 die Zwischenbauteilöffnungen 249 füllt, die Gate-Struktur 250 in Kontakt mit den Innenschichten 234. In Bezug auf die Gate-Struktur 250 und die Innenabstandhaltermerkmale 240 kann jedes der Kanalbauteile 2080 angesehen werden, einen Kanalabschnitt 2082 aufzuweisen, der an beiden Enden durch Verbindungsabschnitte 2084 abgedeckt ist. Der Kanalabschnitt 2082 ist von der Gate-Struktur 250 umhüllt. Jeder der Verbindungsabschnitte 2084 ist vertikal zwischen zwei Innenabstandhaltermerkmalen 240 oder zwischen dem Gate-Abstandhalter 226 und dem obersten Innenabstandhaltermerkmal 240 eingeklemmt. Jeder der Verbindungsabschnitte 2084 verbindet zwischen dem Source/Drain-Merkmal 242 und dem Kanalabschnitt 2082.
  • Um Merkmale der Innenabstandhaltermerkmale 240 und der Kanalbauteile 1080 der vorliegenden Offenbarung weiter zu veranschaulichen, wird eine vergrößerte Teilquerschnittansicht des Kanalgebiets 202C in 16 bereitgestellt. Eine Dicke jedes der Kanalbauteile 1080 der vorliegenden Offenbarung ist über seine Länge entlang der X-Richtung hinweg uneinheitlich. Der Kanalabschnitt 2082 des Kanalbauteils 2080 weist eine erste Dicke T1 entlang der Z-Richtung auf und der Verbindungsabschnitt 2084 des Kanalbauteils 2080 weist eine zweite Dicke T2 entlang der Z-Richtung auf. Wie in 16 veranschaulicht, kann das Kanalbauteil 2080 auch eine Bodenrippe 260 an einer Bodenfläche des Kanalbauteils 2080 und eine Oberseitenrippe 262 an einer Oberseite des Kanalbauteils 2080 aufweisen. Die Bodenrippe 260 und die Oberseitenrippe 262 sind angrenzend an die Grenzfläche zwischen dem Innenabstandhaltermerkmal 240 und der Gate-Struktur 250 angeordnet. Anders ausgedrückt, die Bodenrippe 260 und die Oberseitenrippe 262 sind angrenzend an die Grenzfläche zwischen dem Kanalabschnitt 2082 und dem Verbindungsabschnitt 2084 angeordnet. Spitzen eines Bodenkamms 260 und einer Oberseitenrippes 262 können eine dritte Dicke T3 definieren. In manchen Ausführungsformen kann die erste Dicke T1 im Wesentlichen ähnlich der zweiten Dicke T2 sein. In diesen Ausführungsformen ist die dritte Dicke T3 größer als die erste Dicke T1 und die zweite Dicke T2. In manchen Fällen kann die erste Dicke T1 zwischen etwa 5 nm und etwa 10 nm sein, die zweite Dicke T2 kann zwischen etwa 5 nm und etwa 10 nm sein und die dritte Dicke T3 kann zwischen etwa 8 nm und etwa 15 nm sein. Die Bodenrippen 260 und die Oberseitenrippen 262 sind Ergebnisse des isotropen Ätzens, das die Innenabstandhaltervertiefungen 230 bildet, und des isotropen Ätzens, das die Opferschichten 206 selektiv entfernt. Ersteres schreitet von außen nach innen voran und zweiteres schreitet von innen nach außen voran und abgerundete Ätzkanten treffen sich bei der Grenzfläche zwischen der Gate-Struktur 250 und den Innenabstandhaltermerkmalen 240, um Bodenrippen 260 und die Oberseitenrippen 262 zu bilden, die in 16 gezeigt sind. In Gegenwart der Bodenrippen 260 und der Oberseitenrippen 262 kann das Kanalbauteil 2080 der vorliegenden Offenbarung eine hantelähnliche Form aufweisen, wenn es entlang der Längsrichtung (d.h. der Y-Richtung) der Gate-Struktur 250 betrachtet wird. Wie in 16 gezeigt, weil die Verbindungsabschnitte des obersten Kanalbauteils 2080T nicht vertikal zwischen zwei Innenabstandhaltermerkmalen 240 eingeklemmt sind, kann das oberste Kanalbauteil 2080T keine Oberseitenrippen 262 aufweisen und kann eine unterschiedliche Form aufweisen, wenn es entlang der Y-Richtung betrachtet wird.
  • Obwohl nicht beabsichtigt, begrenzend zu sein, stellen eine oder mehrere Ausführungsformen der vorliegenden Offenbarung viele Vorteile für ein Halbleiterbauelement und die Bildung davon bereit. Zum Beispiel stellen Ausführungsformen der vorliegenden Offenbarung Innenabstandhaltermerkmale bereit, die eine Innenschicht und eine Außenschicht aufweisen. Eine Dicke der Außenschicht ist so gewählt, dass sie ausreichend dick ist, um Schäden an den Source/Drain-Merkmalen zu verhindern, aber dünn genug ist, um während des Kanalfreistellprozesses verbraucht zu werden. Weil eine Dielektrizitätskonstante der Außenschicht größer als eine Dielektrizitätskonstante des Innenabstandhalters ist, kann das Entfernen der Außenschicht Parasitärkapazität reduzieren. Im Sinne der finalen Struktur kann die Gate-Struktur in direktem Kontakt mit der Innenschicht sein. Aufgrund der Abmessung vom Ätzen während Bildung der Innenabstandhaltervertiefungen und dem Entfernen der Opferschichten, weisen Kanalbauteile gemäß der vorliegenden Offenbarung Bodenrippen und gegenüberliegende Oberseitenrippen auf. Als ein Ergebnis dessen, können Kanalbauteile der vorliegenden Offenbarung eine hantelähnliche Form aufweisen.
  • In einem beispielhaften Aspekt ist die vorliegende Offenbarung an ein Halbleiterbauelement gerichtet. Das Halbleiterbauelement weist ein Kanalbauteil, das einen ersten Verbindungsabschnitt, einen zweiten Verbindungsabschnitt und einen Kanalabschnitt zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt angeordnet aufweist, ein erstes Innenabstandhaltermerkmal, das über dem ersten Verbindungsabschnitt angeordnet und damit in Kontakt ist, ein zweites Innenabstandhaltermerkmal, das unterhalb des ersten Verbindungsabschnitts angeordnet und damit in Kontakt ist, und eine Gate-Struktur, die sich um den Kanalabschnitt des Kanalbauteils hüllt, auf. Das Kanalbauteil weist weiter eine erste Rippe an einer Oberseite des Kanalbauteils und bei einer Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet auf. Die erste Rippe erstreckt sich teilweise zwischen dem ersten Innenabstandhaltermerkmal und der Gate-Struktur.
  • In manchen Ausführungsformen weist das Kanalbauteil weiter eine zweite Rippe an einer Bodenfläche des Kanalbauteils und bei der Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet auf und die zweite Rippe erstreckt sich teilweise zwischen dem zweiten Innenabstandhaltermerkmal und der Gate-Struktur. In manchen Ausführungsformen weist das erste Innenabstandhaltermerkmal eine Außenschicht und eine Innenschicht auf und eine Dielektrizitätskonstante der Außenschicht ist größer als eine Dielektrizitätskonstante der Innenschicht. In manchen Implementierungen ist die Innenschicht von dem Kanalbauteil durch die Außenschicht beabstandet und die Innenschicht ist in Kontakt mit der Gate-Struktur. In manchen Ausführungsformen ist eine Dichte der Außenschicht größer als eine Dichte der Innenschicht. In manchen Fällen enthält die Außenschicht Siliziumcarbonitrid oder Siliziumoxycarbonitrid und die Innenschicht enthält Siliziumoxycarbid, poröses Siliziumoxycarbid oder fluordotiertes Siliziumoxid. In manchen Ausführungsformen enthalten die Außenschicht und Innenschicht Silizium, Kohlenstoff, Sauerstoff und Stickstoff, ein Sauerstoffgehalt der Außenschicht ist kleiner als ein Sauerstoffgehalt der Innenschicht und ein Stickstoffgehalt der Außenschicht ist größer als ein Stickstoffgehalt der Innenschicht. In manchen Implementierungen ist der Sauerstoffgehalt der Außenschicht zwischen etwa 5% und etwa 15%, der Sauerstoffgehalt der Innenschicht ist zwischen etwa 40% und etwa 60%, der Stickstoffgehalt der Außenschicht ist zwischen etwa 40% und etwa 60% und der Stickstoffgehalt der Innenschicht ist zwischen etwa 10% und etwa 20%.
  • In einem anderen beispielhaften Aspekt richtet sich die vorliegende Offenbarung an ein Halbleiterbauelement. Das Halbleiterbauelement weist ein Kanalbauteil, das einen ersten Verbindungsabschnitt, einen zweiten Verbindungsabschnitt und einen Kanalabschnitt zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt entlang einer ersten Richtung angeordnet aufweist, ein erstes Source/Drain-Merkmal in Kontakt mit dem ersten Verbindungsabschnitt, ein zweites Source/Drain-Merkmal in Kontakt mit dem zweiten Verbindungsabschnitt, ein erstes Innenabstandhaltermerkmal, das über dem ersten Verbindungsabschnitt entlang einer zweiten Richtung, senkrecht zu der ersten Richtung, angeordnet ist, ein zweites Innenabstandhaltermerkmal, das unterhalb des ersten Verbindungsabschnitts entlang der zweiten Richtung angeordnet ist, und eine Gate-Struktur, die sich um den Kanalabschnitt des Kanalbauteils hüllt, auf. Das erste Innenabstandhaltermerkmal weist eine Außenschicht und eine Innenschicht auf, wobei die Innenschicht von dem Kanalbauteil durch die Außenschicht beabstandet ist und die Innenschicht in Kontakt mit der Gate-Struktur ist.
  • In manchen Ausführungsformen weist das erste Innenabstandhaltermerkmal eine erste Abmessungentlang der ersten Richtung und eine zweite Abmessung entlang der zweiten Richtung auf und die erste Abmessung ist kleiner als die zweite Abmessung. In manchen Ausführungsformen weist das Kanalbauteil weiter eine erste Rippe an einer Oberseite des Kanalbauteils auf und ist bei einer Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet. Das Kanalbauteil weist weiter eine zweite Rippe an einer Bodenfläche des Kanalbauteils auf und ist bei der Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet. Die erste Rippe erstreckt sich teilweise zwischen dem ersten Innenabstandhaltermerkmal und der Gate-Struktur und die zweite Rippe erstreckt sich teilweise zwischen dem zweiten Innenabstandhaltermerkmal und der Gate-Struktur. In manchen Implementierungen ist eine Dielektrizitätskonstante der Außenschicht größer als eine Dielektrizitätskonstante der Innenschicht. In manchen Implementierungen ist eine Dichte der Außenschicht größer als eine Dichte der Innenschicht. In manchen Ausführungsformen enthält die Außenschicht Siliziumcarbonitrid oder Siliziumoxycarbonitrid und die Innenschicht enthält Siliziumoxycarbid, poröses Siliziumoxycarbid oder fluordotiertes Siliziumoxid. In manchen Fällen enthalten die Außenschicht und Innenschicht Silizium, Kohlenstoff, Sauerstoff und Stickstoff, ein Sauerstoffgehalt der Außenschicht ist kleiner als ein Sauerstoffgehalt der Innenschicht und ein Stickstoffgehalt der Außenschicht ist größer als ein Stickstoffgehalt der Innenschicht. In manchen Implementierungen ist der Sauerstoffgehalt der Außenschicht zwischen etwa 5% und etwa 15%, der Sauerstoffgehalt der Innenschicht ist zwischen etwa 40% und etwa 60%, der Stickstoffgehalt der Außenschicht ist zwischen etwa 40% und etwa 60% und der Stickstoffgehalt der Innenschicht ist zwischen etwa 10% und etwa 20%.
  • In noch einem anderen beispielhaften Aspekt richtet sich die vorliegende Offenbarung an ein Fertigungsverfahren eines Halbleiterbauelements. Das Verfahren umfasst, ein Werkstück zu empfangen, das ein Substrat und einen Stapel über dem Substrat aufweist, wobei der Stapel eine Vielzahl von Kanalschichten verschachtelt mit einer Vielzahl von Opferschichten aufweist, den Stapel und das Substrat zu strukturieren, um eine finnenförmige Struktur zu bilden, einen Dummy-Gate-Stapel über einem Kanalgebiet der finnenförmigen Struktur zu bilden, während Source/Drain-Gebiete der finnenförmigen Struktur freigelegt werden, die Source/Drain-Gebiete zu vertiefen, um Source/Drain-Gräben zu bilden und Seitenwände der Vielzahl von Kanalschichten und der Vielzahl von Opferschichten freizulegen, selektiv und teilweise die Vielzahl von Opferschichten zu ätzen, um Innenabstandhaltervertiefungen zu bilden, eine erste Innenabstandhaltermaterialschicht in den Innenabstandhaltervertiefungen abzuscheiden, eine zweite Innenabstandhaltermaterialschicht über der ersten Innenabstandhaltermaterialschicht abzuscheiden, die erste Innenabstandhaltermaterialschicht und die zweite Innenabstandhaltermaterialschicht zurück zu ätzen, um Innenabstandhaltermerkmale in den Innenabstandhaltervertiefungen zu bilden, wobei jedes der Innenabstandhaltermerkmale eine Außenschicht, die aus der ersten Innenabstandhaltermaterialschicht gebildet ist, und eine Innenschicht, die aus der zweiten Innenabstandhaltermaterialschicht gebildet ist, aufweist, den Dummy-Gate-Stapel zu entfernen, um Seitenwände der Seitenwände der Vielzahl von Kanalschichten und der Vielzahl von Opferschichten in dem Kanalgebiet freizulegen, die Vielzahl von Opferschichten selektiv zu ätzen, um die Vielzahl von Kanalschichten in dem Kanalgebiet freizustellen, und eine Gate-Struktur zu bilden, um sich um jede der Kanalschichten zu hüllen. Das selektive Ätzen umfasst Ätzen der Außenschicht und die Gate-Struktur ist in Kontakt mit der Innenschicht.
  • In manchen Ausführungsformen ist eine Dicke der ersten Innenabstandhaltermaterialschicht kleiner als eine Dicke der zweiten Innenabstandhaltermaterialschicht. In manchen Implementierungen umfassen das Abscheiden der ersten Innenabstandhaltermaterialschicht und das Abscheiden der zweiten Innenabstandhaltermaterialschicht Verwendung von Atomschichtabscheidung (ALD) und die erste Innenabstandhaltermaterialschicht und die zweite Innenabstandhaltermaterialschicht enthalten Silizium, Kohlenstoff, Sauerstoff und Stickstoff. In manchen Fällen ist ein Sauerstoffgehalt der ersten Innenabstandhaltermaterialschicht zwischen etwa 5% und etwa 15%, ein Sauerstoffgehalt der zweiten Innenabstandhaltermaterialschicht ist zwischen etwa 40% und etwa 60%, ein Stickstoffgehalt der ersten Innenabstandhaltermaterialschicht ist zwischen etwa 40% und etwa 60% und ein Stickstoffgehalt der zweiten Innenabstandhaltermaterialschicht ist zwischen etwa 10% und etwa 20%.
  • Das Vorangehende umreißt Merkmale einiger Ausführungsformen, sodass Fachkundige die Aspekte der vorliegenden Offenbarung besser verstehen werden. Fachkundige werden begrüßen, dass sie die vorliegende Offenbarung bereits als eine Basis dafür verwenden können, andere Prozesse und Strukturen zum Umsetzen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen zu gestalten oder zu modifizieren. Fachkundige sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen können, ohne von dem Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/015198 [0001]

Claims (20)

  1. Halbleitervorrichtung, aufweisend: ein Kanalbauteil, das einen ersten Verbindungsabschnitt, einen zweiten Verbindungsabschnitt und einen Kanalabschnitt zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt aufweist; ein erstes Innenabstandhaltermerkmal, das über dem ersten Verbindungsabschnitt angeordnet und in Kontakt damit ist; ein zweites Innenabstandhaltermerkmal, das unter dem ersten Verbindungsabschnitt und in Kontakt damit angeordnet ist; und eine Gate-Struktur, die sich um den Kanalabschnitt des Kanalbauteils hüllt, wobei das Kanalbauteil weiter eine erste Rippe an einer Oberseite des Kanalbauteils aufweist und bei einer Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet ist, wobei dieerste Rippe sich teilweise zwischen dem ersten Innenabstandhaltermerkmal und der Gate-Struktur erstreckt.
  2. Halbleitervorrichtung nach Anspruch 1, wobei das Kanalbauteil weiter eine zweite Rippe an einer Bodenfläche des Kanalbauteils aufweist und bei der Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet ist, wobei die zweite Rippe sich teilweise zwischen dem zweiten Innenabstandhaltermerkmal und der Gate-Struktur erstreckt.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei das erste Innenabstandhaltermerkmal eine Außenschicht und eine Innenschicht aufweist, wobei eine Dielektrizitätskonstante der Außenschicht größer als eine Dielektrizitätskonstante der Innenschicht ist.
  4. Halbleitervorrichtung nach Anspruch 3, wobei die Innenschicht von dem Kanalbauteil durch die Außenschicht beabstandet ist, wo die Innenschicht mit der Gate-Struktur in Kontakt ist.
  5. Halbleitervorrichtung nach Anspruch 3 oder 4, wobei eine Dichte der Außenschicht größer als eine Dichte der Innenschicht ist.
  6. Halbleitervorrichtung nach einem der Ansprüche 3 bis 5, wobei die Außenschicht Siliziumcarbonitrid oder Siliziumoxycarbonitrid enthält, wobei die Innenschicht Siliziumoxycarbid, poröses Siliziumoxycarbid oder fluordotiertes Siliziumoxid enthält.
  7. Halbleitervorrichtung nach einem der Ansprüche 3 bis 6, wobei die Außenschicht und Innenschicht Silizium, Kohlenstoff, Sauerstoff und Stickstoff enthalten, wobei ein Sauerstoffgehalt der Außenschicht kleiner als ein Sauerstoffgehalt der Innenschicht ist, wobei ein Stickstoffgehalt der Außenschicht größer als ein Stickstoffgehalt der Innenschicht ist.
  8. Halbleitervorrichtung nach Anspruch 7, wobei der Sauerstoffgehalt der Außenschicht zwischen etwa 5% und etwa 15% ist, wobei der Sauerstoffgehalt der Innenschicht zwischen etwa 40% und etwa 60% ist, wobei der Stickstoffgehalt der Außenschicht zwischen etwa 40% und etwa 60% ist, wobei der Stickstoffgehalt der Innenschicht zwischen etwa 10% und etwa 20% ist.
  9. Halbleitervorrichtung, aufweisend: ein Kanalbauteil, das einen ersten Verbindungsabschnitt, einen zweiten Verbindungsabschnitt und einen Kanalabschnitt zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt, entlang einer ersten Richtung angeordnet, aufweist; ein erstes Source/Drain-Merkmal, das in Kontakt mit dem ersten Verbindungsabschnitt ist; ein zweites Source/Drain-Merkmal, das in Kontakt mit dem zweiten Verbindungsabschnitt ist; ein erstes Innenabstandhaltermerkmal, das über dem ersten Verbindungsabschnitt entlang einer zweiten Richtung, senkrecht zu der ersten Richtung, angeordnet ist; ein zweites Innenabstandhaltermerkmal, das unterhalb des ersten Verbindungsabschnitts entlang der zweiten Richtung angeordnet ist; und eine Gate-Struktur, die sich um den Kanalabschnitt des Kanalbauteils hüllt, wobei das erste Innenabstandhaltermerkmal eine Außenschicht und eine Innenschicht aufweist, wobei die Innenschicht von dem Kanalbauteil durch die Außenschicht beabstandet ist, wo die Innenschicht in Kontakt mit der Gate-Struktur ist.
  10. Halbleitervorrichtung nach Anspruch 9, wobei das erste Innenabstandhaltermerkmal eine erste Abmessung entlang der ersten Richtung und eine zweite Abmessung entlang der zweiten Richtung aufweist, wobei die erst Abmessung kleiner als die zweite Abmessung ist.
  11. Halbleitervorrichtung nach Anspruch 9 oder 10, wobei das Kanalbauteil weiter eine erste Rippe an einer Oberseite des Kanalbauteils aufweist und bei einer Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet ist, wobei das Kanalbauteil weiter eine zweite Rippean einer Bodenfläche des Kanalbauteils aufweist und bei der Grenzfläche zwischen dem Kanalabschnitt und dem ersten Verbindungsabschnitt angeordnet ist, wobei die erste Rippe sich teilweise zwischen dem ersten Innenabstandhaltermerkmal und der Gate-Struktur erstreckt, wobei die zweite Rippe sich teilweise zwischen dem zweiten Innenabstandhaltermerkmal und der Gate-Struktur erstreckt.
  12. Halbleitervorrichtung nach einem der Ansprüche 9 bis 11, wobei eine Dielektrizitätskonstante der Außenschicht größer als eine Dielektrizitätskonstante der Innenschicht ist.
  13. Halbleitervorrichtung nach einem der Ansprüche 9 bis 12, wobei eine Dichte der Außenschicht größer als eine Dichte der Innenschicht ist.
  14. Halbleitervorrichtung nach einem der Ansprüche 9 bis 13, wobei die Außenschicht Siliziumcarbonitrid oder Siliziumoxycarbonitrid enthält, wobei die Innenschicht Siliziumoxycarbid, poröses Siliziumoxycarbid oder fluordotiertes Siliziumoxid enthält.
  15. Halbleitervorrichtung nach einem der Ansprüche 9 bis 14, wobei die Außenschicht und Innenschicht Silizium, Kohlenstoff, Sauerstoff und Stickstoff enthalten, wobei ein Sauerstoffgehalt der Außenschicht kleiner als ein Sauerstoffgehalt der Innenschicht ist, wobei ein Stickstoffgehalt der Außenschicht größer als ein Stickstoffgehalt der Innenschicht ist.
  16. Halbleitervorrichtung nach Anspruch 15, wobei der Sauerstoffgehalt der Außenschicht zwischen etwa 5% und etwa 15% ist, wobei der Sauerstoffgehalt der Innenschicht zwischen etwa 40% und etwa 60% ist, wobei der Stickstoffgehalt der Außenschicht zwischen etwa 40% und etwa 60% ist, wobei der Stickstoffgehalt der Innenschicht zwischen etwa 10% und etwa 20% ist.
  17. Verfahren, umfassend: Empfangen eines Werkstücks, aufweisend: ein Substrat, und einen Stapel über dem Substrat, wobei der Stapel eine Vielzahl von Kanalschichten mit einer Vielzahl von Opferschichten verschachtelt umfasst; Strukturieren des Stapels und des Substrats, um eine finnenförmige Struktur zu bilden; Bilden eines Dummy-Gate-Stapels über einem Kanalgebiet der finnenförmigen Struktur, während Source/Drain-Gebiete der finnenförmigen Struktur freigelegt werden; Vertiefen der Source/Drain-Gebiete, um Source/Drain-Gräben zu bilden und Seitenwände der Vielzahl von Kanalschichten und der Vielzahl von Opferschichten freizulegen; selektives und teilweises Ätzen der Vielzahl von Opferschichten, um Innenabstandhaltervertiefungen zu bilden; Abscheiden einer ersten Innenabstandhaltermaterialschicht in den Innenabstandhaltervertiefungen; Abscheiden einer zweiten Innenabstandhaltermaterialschicht über der ersten Innenabstandhaltermaterialschicht; Zurückätzen der ersten Innenabstandhaltermaterialschicht und der zweiten Innenabstandhaltermaterialschicht, um Innenabstandhaltermerkmale in den Innenabstandhaltervertiefungen zu bilden, wobei jedes der Innenabstandhaltermerkmale eine Außenschicht, die aus der ersten Innenabstandhaltermaterialschicht gebildet ist, und eine Innenschicht, die aus der zweiten Innenabstandhaltermaterialschicht gebildet ist, aufweist; Entfernen des Dummy-Gate-Stapels, um Seitenwände der Seitenwände der Vielzahl von Kanalschichten und der Vielzahl von Opferschichten in dem Kanalgebiet freizulegen; selektives Ätzen der Vielzahl von Opferschichten, um die Vielzahl von Kanalschichten in dem Kanalgebiet freizustellen; und Bilden einer Gate-Struktur, die sich um jede der Kanalschichten zu hüllt, wobei das selektive Ätzen umfasst, die Außenschicht zu ätzen und die Gate-Struktur in Kontakt mit der Innenschicht ist.
  18. Verfahren nach Anspruch 17, wobei eine Dicke der ersten Innenabstandhaltermaterialschicht kleiner als eine Dicke der zweiten Innenabstandhaltermaterialschicht ist.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Abscheiden der ersten Innenabstandhaltermaterialschicht und das Abscheiden der zweiten Innenabstandhaltermaterialschicht Atomschichtabscheidung (ALD) umfasst, wobei die erste Innenabstandhaltermaterialschicht und die zweite Innenabstandhaltermaterialschicht Silizium, Kohlenstoff, Sauerstoff und Stickstoff enthalten.
  20. Verfahren nach Anspruch 19, wobei ein Sauerstoffgehalt der ersten Innenabstandhaltermaterialschicht zwischen etwa 5% und etwa 15% ist, wobei ein Sauerstoffgehalt der zweiten Innenabstandhaltermaterialschicht zwischen etwa 40% und etwa 60% ist, wobei ein Stickstoffgehalt der ersten Innenabstandhaltermaterialschicht zwischen etwa 40% und etwa 60% ist, wobei ein Stickstoffgehalt der zweiten Innenabstandhaltermaterialschicht zwischen etwa 10% und etwa 20% ist.
DE102020120477.9A 2020-04-24 2020-08-04 Innenabstandhaltermerkmale für multigate-transistoren Pending DE102020120477A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063015198P 2020-04-24 2020-04-24
US63/015,198 2020-04-24
US16/937,164 2020-07-23
US16/937,164 US11289584B2 (en) 2020-04-24 2020-07-23 Inner spacer features for multi-gate transistors

Publications (1)

Publication Number Publication Date
DE102020120477A1 true DE102020120477A1 (de) 2021-10-28

Family

ID=78222809

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020120477.9A Pending DE102020120477A1 (de) 2020-04-24 2020-08-04 Innenabstandhaltermerkmale für multigate-transistoren

Country Status (2)

Country Link
KR (1) KR102424642B1 (de)
DE (1) DE102020120477A1 (de)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190131431A1 (en) 2017-10-30 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190131431A1 (en) 2017-10-30 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
KR102424642B1 (ko) 2022-07-25
KR20210132570A (ko) 2021-11-04

Similar Documents

Publication Publication Date Title
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
CN113113493B (zh) 半导体器件和形成半导体器件的方法
DE102021113387A1 (de) Epitaktische merkmale
DE102017128577A1 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102020106231A1 (de) Vorrichtung mit niedrigem leckstrom
DE102020105633B4 (de) Halbleitervorrichtungen mit verbesserten Kondensatoren
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102020125336A1 (de) Pmosfet source drain
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102020130986A1 (de) Reparaturen von dielektrischen strukturelementen nach der herstellung
DE102020121265A1 (de) Struktur und Verfahren zur Leckage-Verhinderung
DE102020119940A1 (de) Mehrfachgatetransistorstruktur
DE102020131030A1 (de) Siliziumkanal-anlassen
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021109760A1 (de) Verfahren zum bilden von kontaktstrukturen
DE102022129051A1 (de) Halbleitervorrichtung mit verwendung von abstimmbaren kanalschichten und verfahren zu deren herstellung
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102021115012A1 (de) Nanostrukturen und verfahren zu deren herstellung
DE102020131432A1 (de) Source/drain-kontaktstruktur

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication