DE102019126285A1 - Steuerung von Grenzspannungen durch Blockierschichten - Google Patents

Steuerung von Grenzspannungen durch Blockierschichten Download PDF

Info

Publication number
DE102019126285A1
DE102019126285A1 DE102019126285.2A DE102019126285A DE102019126285A1 DE 102019126285 A1 DE102019126285 A1 DE 102019126285A1 DE 102019126285 A DE102019126285 A DE 102019126285A DE 102019126285 A1 DE102019126285 A1 DE 102019126285A1
Authority
DE
Germany
Prior art keywords
layer
fluorine
work function
barrier layer
fluorine barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019126285.2A
Other languages
English (en)
Other versions
DE102019126285B4 (de
Inventor
Chia-Ching Lee
Chung-Chiang WU
Shih-Hang Chiu
Hsuan-Yu Tung
Da-Yuan Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019126285A1 publication Critical patent/DE102019126285A1/de
Application granted granted Critical
Publication of DE102019126285B4 publication Critical patent/DE102019126285B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • H01L29/66856Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
    • H01L29/66863Lateral single gate transistors
    • H01L29/66871Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Verfahren umfasst das Abscheiden einer ersten Austrittsarbeit-Schicht und einer zweiten Austrittsarbeit-Schicht in einer ersten Vorrichtungsregion bzw. einer zweiten Vorrichtungsregion, und das Abscheiden einer ersten Fluorsperrschicht und einer zweiten Fluorsperrschicht in der ersten Vorrichtungsregion bzw. der zweiten Vorrichtungsregion. Die erste Fluorsperrschicht befindet sich über der ersten Austrittsarbeit-Schicht, und die zweite Fluorsperrschicht befindet sich über der zweiten Austrittsarbeit-Schicht. Das Verfahren umfasst ferner das Entfernen der zweiten Fluorsperrschicht und das Bilden einer ersten Metallfüllerschicht über der ersten Fluorsperrschicht, und einer zweiten Metallfüllerschicht über der zweiten Austrittsarbeit-Schicht.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Metalloxidhalbleiter-Vorrichtungen (MOS-Vorrichtungen) umfassen üblicherweise Metallgates, die gebildet werden, um die Polysperrwirkung in konventionellen Polysiliziumgates zu lösen. Die Polysperrwirkung tritt ein, wenn die angelegten elektrischen Felder Träger von Gateregionen in die Nähe von Gatedielektrika tragen, um so Sperrschichten bilden. In einer n-dotierten Polysiliziumschicht umfasst die Sperrschicht ionisierte nicht-mobile Donorstellen, wobei in einer p-dotierten Polysiliziumschicht die Sperrschicht ionisierte nicht-mobile Akzeptorstellen umfasst. Die Sperrwirkung führt zu einer Erhöhung der Dicke des effektiven Gatedielektrikums, was es schwerer macht, eine Inversionsschicht an der Fläche des Halbleiters zu bilden.
  • Ein Metallgate kann mehrere Schichten umfassen, um die Anforderungen der NMOS-Vorrichtungen und PMOS-Vorrichtungen zu erfüllen. Die Bildung von Metallgates umfasst üblicherweise das Abscheiden mehrerer Metallschichten, das Bilden einer Füllmetallregion mit Wolfram und dann das Ausführen von chemisch-mechanischer Politur (CMP) zum Entfernen von überschüssigen Abschnitten der Metallschichten. Der verbleibenden Abschnitte der Metallschichten bilden Metallgates.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden ausführlichen Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Eigenschaften nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 bis 6, 7A, 7B, 8A, 8B, 9 bis 15, 16A und 16B illustrieren die perspektivischen Ansichten und Querschnittsansichten der direkten Stufen bei der Bildung von Finnen-Feldeffekttransistoren (FinFETs) nach einigen Ausführungsformen.
    • 17 bis 23 illustrieren die perspektivischen Absichten und Querschnittsansichten der Zwischenstadien in der Bildung von Finnen-Feldeffekttransistoren (FinFETs) nach einigen Ausführungsformen.
    • 24 und 25 illustrieren Querschnittsansichten von FinFETs nach einigen Ausführungsformen.
    • 27 illustriert einen Prozessablauf für die Bildung von FinFETs nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise kann das Bilden eines ersten Merkmals oder eines zweiten Merkmals in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Merkmale in direktem Kontakt ausgebildet sind, und sie kann außerdem Ausführungsformen enthalten, in denen weitere Merkmale zwischen dem ersten und zweiten Merkmal ausgebildet werden können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt stehen müssen. Weiterhin kann die vorliegende Offenbarung Referenzziffern und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „darunterliegend“, „darunter“, „unterer“, „darüberliegend“, „oberer“ und ähnliches hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den FIG. illustriert zu beschreiben. Die räumlich relativen Begriffe sollten zusätzlich zu der Ausrichtung, die in den FIG. dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die räumlich relativen Bezeichner, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Transistoren mit Ersatzgates und die Verfahren des Bildens desselben werden nach einigen Ausführungsformen bereitgestellt. Die Zwischenstufen der Bildung der Transistoren werden nach einigen Ausführungsformen illustriert. Einige Variationen einiger Ausführungsformen werden besprochen. Durch die verschiedenen Ansichten und illustrativen Ausführungsformen werden gleiche Referenznummern verwendet, um gleiche Elemente anzugeben. In den illustrierten Ausführungsformen wird die Bildung von Finnen-Feldeffekttransistoren (FinFETs) als ein Beispiel verwendet, um das Konzept dieser Offenbarung zu erklären. Planare Transistoren können ebenfalls das Konzept dieser Offenbarung übernehmen. Nach einigen Ausführungsformen dieser Offenbarung wird eine Fluorsperrschicht verwendet, um das Diffundieren von Fluor aus fluorhaltigen Metallregionen in eine darunterliegende Austrittsarbeit-Schicht eines kürzeren Kanaltransistors zu verhindern. Fluor hat, wenn es in die Austrittsarbeit-Schicht diffundiert wird, die Wirkung, die Erhöhung der Grenzspannung des jeweiligen Transistors auszulösen. Längere Kanaltransistoren haben aufgrund des Geometrieeffekts niedrigere Grenzspannungen als Kurzkanaltransistoren und das Verhindern der Diffusion von Fluor in deren Austrittsarbeit-Schichten kann daher dazu führen, dass ihre Grenzspannungen niedriger sind als Werte zum Erhalten ihrer normalen Operationen. Dementsprechend wird in längeren Kanaltransistoren die Fluorsperrschicht entweder nicht gebildet oder mit einer geringeren Dicke gebildet als die Fluorsperrschicht des kürzeren Kanaltransistors, sodass die Grenzspannungen der längeren Kanaltransistoren durch das eingebaute Fluor erhöht werden.
  • 1 bis 6, 7A, 7B, 8A, 8B, 9 bis 15, 16A und 16B illustrieren die Querschnittsansichten und perspektivischen Ansichten der direkten Stufen bei der Bildung von Finnen-Feldeffekttransistoren (FinFETs) nach einigen Ausführungsformen dieser Offenbarung. Die Prozesse in diesen FIG. werden auch schematisch in dem Prozessablauf 400 aus 27 dargestellt.
  • In 1 wird Substrat 20 bereitgestellt. Das Substrat 20 kann ein Halbleitersubstrat, wie etwa ein Bulkhalbleitersubstrat, ein Halbleiter-auf-Isolator- (SOI) Substrat, oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotiermittel) oder undotiert sein kann. Das Halbleitersubstrat 20 kann ein Abschnitt von Wafer 10 sein, wie etwa ein Siliziumwafer. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterialien, das auf einer Isolierungsschicht gebildet ist. Die Isolierungsschicht kann beispielsweise eine Buried-Oxid- (BOX) Schicht, eine Siliziumoxidschicht oder dergleichen sein. Die Isolierungsschicht wird an einem Substrat bereitgestellt, üblicherweise an Silizium oder einem Glassubstrat. Andere Substrate, wie etwa ein mehrlagiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus enthalten.
  • Ferner wird mit Verweis auf 1 die Well-Region 22 im Substrat 20 gebildet. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 402 illustriert. Nach einigen Ausführungsformen dieser Offenbarung ist die Well-Region 22 eine p-Well-Region, die durch Implantierung einer p-Unreinheit, die Bor, Indium oder dergleichen sein kann, in Substrat 20 gebildet wird. Nach anderen Ausführungsformen dieser Offenbarung ist die Well-Region 22 eine n-Well-Region, die durch Implantierung einer n-Unreinheit, die Phosphor, Arsen, Antimon oder dergleichen sein kann, in Substrat 20 gebildet wird. Die entstehende Well-Region 22 kann auf die obere Fläche von Substrat 20 erweitert werden. Die Konzentration der n- oder p-Unreinheit kann gleich oder weniger als 1018 cm-3 betragen, wie etwa im Bereich zwischen etwa 1017 cm-3 und etwa 1018 cm-3.
  • Mit Verweis auf 2 sind Isolierungsregionen 24 gebildet, um sich von einer oberen Fläche des Substrats 20 in das Substrat 20 zu erstrecken. Isolierungsregionen 24 werden nachfolgend alternativ auch als Shallow-Trench-Isolation- (STI) Regionen bezeichnet. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 404 illustriert. Die Abschnitte von Substrat 20 zwischen benachbarten STI-Regionen 24 werden als Halbleiterstreifen 26 bezeichnet. Um STI-Regionen 24 zu bilden, sind die Padoxidschicht 28 und die harte Maskenschicht 30 auf dem Halbleitersubstrat 20 gebildet und werden dann strukturiert. Die Padoxidschicht 28 kann ein Dünnfilm sein, der aus Siliziumoxid gebildet ist. Nach einigen Ausführungsformen der vorliegenden Offenbarung wird die Padoxidschicht 28 in einem Thermaloxidierungsprozess gebildet, wobei eine obere Flächenschicht des Halbleitersubstrats 20 oxidiert wird. Die Padoxidschicht 28 wirkt als Klebeschicht zwischen dem Halbleitersubstrat 20 und der harte Maskenschicht 30. Die Padoxidschicht 28 kann auch als Ätzstoppschicht zum Ätzen der harten Maskenschicht 30 wirken. Nach einigen Ausführungsformen der vorliegenden Offenbarung wird die harte Maskenschicht 30 aus Siliziumnitrid gebildet, etwa unter Verwendung von chemischer Niederdruckgasphasenabscheidung (LPCVD). Nach anderen Ausführungsformen der vorliegenden Offenbarung wird die Maskenschicht 30 durch Thermalnitrierung von Silizium oder plasmaverstärkter chemischer Gasphasenabscheidung (PECVD) gebildet. Ein Photoresist (nicht dargestellt) wird auf der harten Maskenschicht 30 gebildet und dann strukturiert. Die harte Maskenschicht 30 wird dann unter Verwendung des strukturierten Photoresist als eine Ätzmaske zum Bilden harter Masken 30 wie in 2 dargestellt verwendet.
  • Als nächstes wird die strukturierte harte Maskenschicht 30 als eine Ätzmaske verwendet, um die Padoxidschicht 28 und das Substrat 20 zu ätzen, gefolgt durch Füllen der entstehenden Gräben in Substrat 20 mit einem Dielektrikum oder mehreren Dielektrika. Ein Planarisierungsprozess wie ein chemisch-mechanischer Politur- (CMP) Prozess oder ein mechanischer Schleifprozess wird durchgeführt, um überschüssige Abschnitte der Dielektrika zu entfernen, und die verbleibenden Abschnitte des Dielektrikums/der Dielektrika sind STI-Regionen 24. STI-Regionen 24 können ein Auskleidungsdielektrikum (nicht dargestellt) umfassen, das ein Thermaloxid sein kann, das durch eine Wärmeoxidation einer Oberflächenschicht von Substrat 20 gebildet wird. Das Auskleidungsdielektrikum kann auch eine abgeschiedene Siliziumoxidschicht, Siliziumnitridschicht oder dergleichen sein, die beispielsweise unter Verwendung von Atomlagenabscheidung (ALD), chemischer Dampfphasenabscheidung mit hochdichtem Plasma (HDPCVD), chemischer Dampfphasenabscheidung (CVD) gebildet wird. STI-Regionen 24 können auch ein Dielektrikum über dem Auskleidungsoxid umfassen, wobei das Dielektrikum unter Verwendung von fließfähiger chemischer Dampfphasenabscheidung (FCVD), Spin-On-Beschichtung oder dergleichen gebildet werden kann. Das Dielektrikum über dem Auskleidungsdielektrikum kann nach einigen Ausführungsformen Siliziumoxid umfassen.
  • Die oberen Flächen der Hartmasken 30 und die oberen Flächen der STI-Regionen 24 können im Wesentlichen auf einer Ebene miteinander liegen. Halbleiterstreifen 26 befinden sich zwischen benachbarten STI-Regionen 24. Nach einigen Ausführungsformen dieser Offenbarung sind Halbleiterstreifen 26 Teile des Originalsubstrats 20, und daher ist das Material der Halbleiterstreifen 26 dasselbe wie das von Substrat 20. Nach alternativen Ausführungsformen dieser Offenbarung sind Halbleiterstreifen 26 Ersatzstreifen, die durch Ätzen der Abschnitte von Substrat 20 zwischen STI-Regionen 24, um Ausschnitte zu bilden, und Durchführen einer Epitaxie zum erneuten Aufbau eines anderen Halbleitermaterialien in den Ausschnitten gebildet werden. Dementsprechend werden Halbleiterstreifen 26 aus einem anderen Halbleitermaterial als Substrat 20 gebildet. Nach einigen Ausführungsformen werden Halbleiterstreifen 26 aus Siliziumgermanium, Siliziumkohlenstoff oder einem III-V-Verbindungshalbleitermaterial gebildet.
  • Mit Verweis auf 3 sind die STI-Regionen 24 ausgeschnitten, sodass die oberen Abschnitte von Halbleiterstreifen 26 höher vorspringen als die oberen Flächen 24A der verbleibenden Abschnitte der STI-Regionen 24, um vorspringende Finnen 36 zu bilden. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 406 illustriert. Das Ätzen kann unter Verwendung eines Trockenätzprozesses erfolgen, wobei beispielsweise HF3 und NH3 als die Ätzgase verwendet werden. Während des Ätzvorgangs kann Plasma erzeugt werden. Argon kann ebenfalls enthalten sein. Nach alternativen Ausführungsformen der vorliegenden Offenbarung wird das Ausschneiden der STI-Regionen 24 unter Verwendung eines Nassätzprozesse ausgeführt. Die Ätzchemikalie kann beispielsweise HF umfassen.
  • In den oben illustrierten Ausführungsformen können die Finnen mit jedem geeigneten Verfahren strukturiert werden. Beispielsweise können die Finnen unter Verwendung eines oder mehrerer Photolithographieprozesse strukturiert werden, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausgerichtete Prozesse, was die Erstellung von Strukturen erlaubt, die beispielsweise Abstände aufweisen, die kleiner sind, als sonst unter Verwendung eines einzelnen direkten Photolithographieprozesses möglich wäre. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandhalter werden zusammen mit der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandhalter können dann verwendet werden, um die Finnen zu strukturieren.
  • Mit Verweis auf 4 werden Dummygatestapeln 38 gebildet, um sich auf den oberen Flächen und den Seitenwänden von (vorspringenden) Finnen 36 zu erstrecken. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 408 illustriert. Der Dummygatestapel 38 kann Dummygate-Dielektrika 40 und Dummygate-Elektroden 42 über den Dummygate-Dielektrika 40 enthalten. Dummygate-Elektroden 42 können beispielsweise unter Verwendung von Polysilizium gebildet sein, und andere Materialien können verwendet werden. Jeder der Dummygatestapel 38 kann auch eine (oder mehrere) harte Maskenschicht 44 über den Dummygate-Elektroden 42 enthalten. Die harten Maskenschichten 44 können aus Siliziumnitrid, Siliziumoxid, Siliziumkohlenstoffnitrid oder mehreren Schichten davon gebildet sein. Dummygatestapel 38 können über einer einzigen oder mehreren vorspringenden Finnen 36 und/oder STI-Regionen 24 kreuzen. Dummygatestapel 38 weisen außerdem Längsrichtungen auf, die rechtwinklig zu den Längsrichtungen der vorspringenden Finnen 36 verlaufen.
  • Als nächstes werden 46 an den Seitenwänden des Dummygate-Stapels 38 gebildet. Der jeweilige Prozess ist ebenfalls in dem in 27 gezeigten Prozessablauf 400 als Prozess 408 illustriert. Nach einigen Ausführungsformen dieser Offenbarung werden Gate-Abstandhalter 46 aus einem Dielektrikum oder mehreren Dielektrika wie Siliziumnitrid, Siliziumkarbonitrid oder dergleichen gebildet und können eine einschichtige Struktur oder eine mehrschichtige Struktur aufweisen, die mehrere Dielektrikumschichten umfasst.
  • Ein Ätzprozess wird dann durchgeführt, um die Abschnitte der vorspringenden Finnen 36 zu ätzen, die nicht von dem Dummygate-Stapel 38 und den Gate-Abstandhaltern 46 bedeckt werden, was zu der Struktur aus 5 führt. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 410 illustriert. Das Ausschneiden kann anisotrop erfolgen und die Abschnitte der Finnen 36 direkt unter den Dummygate-Stapeln 38 und Gate-Abstandhaltern 46 sind daher geschützt und werden nicht geätzt. Die oberen Flächen der ausgeschnittenen Halbleiterstreifen 26 können nach einigen Ausführungsformen niedriger sein, als die oberen Fläche 24A der STI-Regionen 24. Ausschnitte 50 werden entsprechend gebildet. Ausschnitte 50 umfassen Abschnitte, die sich an gegenüberliegenden Seiten der Dummygatestapel 38 befinden, und Abschnitte zwischen verbleibenden Abschnitten vorspringender Finnen 36.
  • Als nächstes werden epitaktische Regionen (Source/Drain-Regionen) 54 durch selektiven Aufbau (Epitaxie) eines Halbleitermaterialien in Ausschnitten 50 gebildet, was zu der Struktur in 6 führt. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 412 illustriert. Abhängig davon, ob der entstehende FinFET ein FinFET vom Typ p oder ein FinFET vom Typ n ist, kann eine Verunreinigung vom Typ p oder vom Typ n vor Ort mit dem epitaktischen Verfahren dotiert werden. Wenn beispielsweise der entstehende FinFET ein FinFET vom Typ p ist, kann Siliziumgermaniumbor (SiGeB), Siliziumbor (SiB) oder dergleichen aufgebaut werden. Wenn andererseits der entstehende FinFET ein FinFET vom Typ n ist, kann Siliziumphosphor (SiP), Siliziumkohlenstoffphosphor (SiCP) oder dergleichen, aufgebaut werden. Nach alternativen Ausführungsformen der vorliegenden Offenbarung umfassen epitaktische Regionen 54 einen III-V-Verbindungshalbleiter wie GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon oder mehrere Schichten davon. Nachdem die Ausschnitte 50 mit Epitaxieregionen 54 gefüllt sind, veranlasst der weitere epitaktische Aufbau der Epitaxieregionen 54, dass sich die Epitaxieregionen 54 horizontal erstrecken, und Facetten können gebildet werden. Der weitere Aufbau von Epitaxieregionen 54 kann auch dazu führen, dass benachbarte Epitaxieregionen 54 miteinander verschmelzen. Leerräume (Luftspalten) 56 können erzeugt werden. Nach einigen Ausführungsformen dieser Offenbarung kann die Bildung von Epitaxieregionen 54 abgeschlossen werden, wenn die obere Fläche von Epitaxieregionen 54 noch wellig ist oder wenn die obere Fläche der verschmolzenen Epitaxieregionen 54 planar geworden ist, was durch weiteren Aufbau auf den Epitaxieregionen 54 wie in 6 gezeigt, erreicht wird.
  • Nach dem Epitaxieschritt können epitaktische Regionen 54 ferner mit einer Verunreinigung vom Typ p oder Typ n implantiert werden, um Source- und Drain-Regionen zu bilden, die auch unter Verwendung der Referenzziffer 54 bezeichnet werden. Nach alternativen Ausführungsformen der vorliegenden Offenbarung wird der Implantierungsschritt übersprungen, wenn epitaktische Regionen 54 vor Ort während der Epitaxie mit einer Verunreinigung vom Typ p oder Typ n dotiert werden.
  • 7A illustriert eine perspektivische Ansicht der Struktur nach der Bildung einer Kontaktätzstoppschicht (CESL) 58 und eines Zwischenschichtdielektrikums (ILD) 60. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 414 illustriert. CESL 58 kann aus Siliziumoxid, Siliziumnitrid, Siliziumkarbonitrid oder dergleichen gebildet werden und kann unter Verwendung von CVD, ALD oder dergleichen gebildet werden. Das ILD 60 kann ein Dielektrikum enthalten, das etwa unter Verwendung von FCVD, Spin-on Coating, CVD oder eines anderen Abscheidungsverfahrens gebildet wird. Das ILD 60 kann aus einem sauerstoffhaltigen Dielektrikum gebildet sein, das ein siliziumoxidbasiertes Material wie Tetraethylorthosilikat- (TEOS) Oxid, Phosphosilikatgas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG) oder dergleichen sein kann. Ein Planarisierungsprozess wie ein CMP-Prozess oder ein mechanischer Schleifprozess kann durchgeführt werden, um die oberen Flächen des ILD 60, der Dummygate-Stapel 38 und der Gate-Abstandhalter 46 zueinander zu glätten.
  • 7B illustriert die Querschnittsansichten einer Zwischenstruktur in der Bildung eines ersten FinFET und eines längeren Kanal-FinFETs auf demselben Substrat 20. Die kürzere Kanalvorrichtung (die ein FinFET sein kann) wird in einer Vorrichtungsregion 100 gebildet, und die längere Kanalvorrichtung (die ein FinFET sein kann) wird in der Vorrichtungsregion 200 gebildet. Die kürzere Kanalvorrichtung weist eine Kanallänge Lgi auf, die kleiner ist als die Kanallänge der längeren Kanalvorrichtung, wie illustriert. Das Verhältnis Lg2/Lgi kann nach einigen Ausführungsformen höher als etwa 1,5 oder 2,0 sein und kann in einem Bereich zwischen etwa 1,5 und etwa 10 liegen. Nach einigen Ausführungsformen ist die kürzere Kanalvorrichtung ein Kerntransistor oder ein Transistor in anderen Schaltungen, wie etwa statische Direktzugriffsspeicher (SRAM), und die längere Kanalvorrichtung ist ein Transistor in einer Treiberschaltung, einer peripheren Schaltung oder dergleichen. Die Querschnittsansicht der kürzeren Kanalvorrichtung oder der längeren Kanalvorrichtung kann der Querschnittsansicht entsprechen, die aus der Vertikalen Ebene erhalten wird, die Linie A-A in 6 umfasst.
  • Nach einigen Ausführungsformen kann jede der kürzeren Kanalvorrichtung und der längeren Kanalvorrichtung eine Kurzkanalvorrichtung, eine Mittelkanalvorrichtung und eine Langkanalvorrichtung sein. Es versteht sich, dass die Fragen, ob eine Vorrichtung eine Kurzkanalvorrichtung, eine Mittelkanalvorrichtung oder eine Langkanalvorrichtung ist, relativ ist, und sich auf die Bildungstechnologie der Vorrichtungen (Transistoren) beziehen kann. Nach einigen Ausführungsformen dieser Offenbarung können die Kanallängen der Kurzkanalvorrichtungen kleiner sein als etwa 30 nm, und die Kanallängen der Langkanalvorrichtungen können größer sein als etwa 100 nm. Die Kanallängen der Mittelkanalvorrichtungen kann in dem Bereich zwischen etwa 30 nm und etwa 100 nm liegen. Wenn ein Transistor ein Kurzkanaltransistor, Mittelkanaltransistor oder ein Langkanaltransistor ist, wird die jeweilige Vorrichtungsregion entsprechend als eine Kurzkanalvorrichtungsregion, eine Mittelkanalvorrichtungsregion oder eine Langkanalvorrichtungsregion sein. Es versteht sich, dass die Kanallängenbereiche der Kurzkanalvorrichtungen, Mittelkanalvorrichtungen und Langkanalvorrichtungen Beispiele sind und anders definiert werden können. Wenn etwa die Abmessungen der Transistoren abwärtsskaliert werden, können die Abmessungen der Kanallängenbereiche der Kurzkanaltransistoren, Mittelkanaltransistoren und Langkanaltransistoren verringert werden. Jede der Vorrichtungsregionen 100 und 200 können jede aus der Kurzkanalvorrichtungsregion, Mittelkanalvorrichtungsregion und Langkanalvorrichtungsregion sein, sofern der Kanal des Transistors in Vorrichtungsregion 200 länger ist als der Kanal des Transistors in Vorrichtungsregion 100. Beispielsweise kann die Vorrichtungsregion 100 eine Kurzkanalvorrichtungsregion oder eine Mittelkanalvorrichtungsregion sein und die Vorrichtungsregion 200 kann eine Mittelkanalvorrichtungsregion bzw. eine Langkanalvorrichtungsregion sein. Vorrichtungsregionen 100 und 200 können auch beide Kurzkanalvorrichtungsregionen, beide Mittelkanalvorrichtungsregionen, oder beide Langkanalvorrichtungsregionen sein.
  • Um die Merkmale in der kürzeren Kanalvorrichtung von den Merkmalen in der längeren Kanalvorrichtung zu unterscheiden, sind die Merkmale in der kürzeren Kanalvorrichtung unter Verwendung der Referenzziffern der entsprechenden Merkmale aus 7A plus Zahl 100 dargestellt, und die Merkmale in der längeren Kanalvorrichtung sind unter Verwendung der Referenzziffern der entsprechenden Merkmale aus 7A plus Zahl 200 dargestellt. Beispielsweise entsprechen die Source-/Drainregionen 154 und 254 in 7 der Source-/Drainregion 54 in 7A. Die Gate-Abstandhalter in der kürzerer-Kanal-Vorrichtungsregion und der längeren -Kanalvorrichtungsregion sind als 146 bzw. 246 bezeichnet. Die entsprechenden Merkmale in der kürzeren Kanalvorrichtung und der längeren Kanalvorrichtung können in gemeinsamen Prozessen gebildet werden, wobei einige der Beispielprozesse in nachfolgenden Absätzen besprochen werden.
  • Nach der Bildung der Struktur, die in 7A und 7B dargestellt ist, werden die Dummygatestapel 138 und 238 durch Metallgates und Ersatzgatedielektrika ersetzt, wie in 8A, 8B und 9 bis 14 dargestellt. In 8A, 8B und 9 bis 14 sind die oberen Flächen 124A und 224A der STI-Regionen 24 illustriert, und Halbleiterfinnen 124' und 224' springen höher vor als die oberen Flächen 124A bzw. 224A.
  • Um die Ersatzgates zu bilden, werden zuerst die harten Maskenschichten 144 und 244, Dummygateelektroden 142 und 242, und Dummygatedielektrika 140 und 240 wie in 7A und 7B gezeigt, entfernt, um Öffnungen 59 zu bilden, wie in 8A gezeigt. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 416 illustriert. Die Öffnungen 59 in 8A entsprechen der Öffnung 159 in der Vorrichtungsregion 100 und der Öffnung 259 in der Vorrichtungsregion 200. Die oberen Flächen und die Seitenwände der vorspringenden Finnen 124' und 224' werden jeweils den Öffnungen 159 bzw. 259 ausgesetzt.
  • Als nächstes werden mit Verweis auf 9, die Gatedielektrika 161/162 und 261/262 gebildet, die sich jeweils in die Öffnungen 159 bzw. 259 erstrecken. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 418 illustriert. Nach einigen Ausführungsformen dieser Offenbarung, umfassen die Gatedielektrika Schnittstellenschichten (ILs) 161 und 261, die an den offenliegenden Flächen der vorspringenden Finnen 124' bzw. 224' gebildet werden. ILs 161 und 261 können eine Oxidschicht wie eine Siliziumoxidschicht enthalten, die durch die Wärmeoxidation der vorspringenden Finnen 124' und 224', einen chemischen Oxidierungsprozess oder einen Abscheidungsprozess gebildet wird. Die Gatedielektrika können auch Dielektrikumschichten mit hohem k-Wert 162 und 262 über den entsprechenden ILs 161 und 261 umfassen. Die Dielektrikumschichten mit hohem k-Wert 162 und 262 können aus einem Dielektrikum mit hohem k-Wert wie Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirconiumoxid oder dergleichen gebildet werden. Die dielektrische Konstante (k-Wert) des Dielektrikums mit hohem k-Wert ist höher als 3,9, und kann höher als etwa 7,0 und manchmal bis zu 21,0 hoch oder höher sein. Die Dielektrikumschichten mit hohem k-Wert 162 und 262 liegen über den jeweiligen darunterliegenden ILs 161 und 261 und können damit in Kontakt stehen. Die Dielektrikumschichten mit hohem k-Wert 162 und 262 sind als konforme Schichten gebildet und erstrecken sich an den Seitenwänden der vorspringenden Finnen 124' und 224' und der oberen Fläche und den Seitenwänden des Gate-Abstandhalters 146 bzw. 246. Nach einigen Ausführungsformen der vorliegenden Offenbarung werden die Dielektrikumschichten mit hohem k-Wert 162 und 262 unter Verwendung von ALD oder CVD gebildet. Die Dielektrikumschichten mit hohem k-Wert 162 und 262 können Abschnitte derselben Dielektrikumschicht umfassen und werden gleichzeitig unter Verwendung desselben Materialien und mit derselben Dicke oder getrennt voneinander mit verschiedenen Materialien und/oder verschiedenen Dicken gebildet.
  • Ferner werden mit Verweis auf 9, Austrittsarbeit-Schichten 163 und 263 durch Abscheidung gebildet. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 420 illustriert. Jede der Austrittsarbeit-Schichten 163 und 263 umfasst mindestens eine homogene Schicht deren Gesamtheit aus demselben Material gebildet ist, oder kann mehrere Zwischenschichten umfassen, die aus unterschiedlichen Materialien gebildet sind. Die entsprechenden Schichten in den Austrittsarbeit-Schichten 163 und 263 sind in gemeinsamen Abscheidungsverfahren gebildet. Das spezifische Material der Schichten in den Austrittsarbeit-Schichten 163 und 263 können Arbeitsfunktionsmetalls umfassen, die danach gewählt werden, ob die jeweiligen FinFETs, die in den Vorrichtungsregionen 100 und 200 gebildet sind, n-FinFETs oder p-FinFETs sind. Wenn etwa die FinFETs n-FinFETs sind, können die Austrittsarbeit-Schichten 163 und 263 eine Titannitrid-(TiN) Schicht, eine Tantalnitrid- (TaN) Schicht und eine Al-basierte Schicht (beispielsweise gebildet aus TiAl, TiAlN, TiAlC, TaAlN oder TaAlC) umfassen. Wenn die FinFETs p-FinFETs sind, können die Austrittsarbeit-Schichten 163 und 263 eine TiN-Schicht, eine TaN-Schicht und eine andere TiN-Schicht umfassen.
  • Nach einigen Ausführungsformen dieser Offenbarung sind Deckschichten 164 und 264 (die auch Haftschichten sind) über den Austrittsarbeit-Schichten 163 bzw. 263 gebildet. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 422 illustriert. Die Deckschichten 164 und 264 können metallhaltige Schichten sein, die nach einigen Ausführungsformen aus TiN gebildet sein können. Andere Materialien, wie TaN, können verwendet werden. Nach einigen Ausführungsformen werden Deckschichten 164 und 264 unter Verwendung von ALD gebildet. Die Dicke der Deckschichten 164 und 264 ist klein genug, sodass sie die Diffusion von Fluor in darüberliegenden Schichten in die darunterliegenden Austrittsarbeit-Schichten 163 und 263 nicht wesentlich blockieren. Stattdessen wird die Funktion zum Blockieren von Fluor durch die nachfolgend gebildete Fluorsperrschicht erreicht. Nach einigen Ausführungsformen dieser Offenbarung weisen die Deckschichten 164 und 264 Dicken auf, die kleiner als etwa 40 Å sind, und die Dicken können in dem Bereich zwischen etwa 10 nm und etwa 40 nm liegen. Deckschichten 164 und 264 können Abschnitte derselben metallhaltigen Schicht sein und werden gleichzeitig unter Verwendung desselben Materialien und mit derselben Dicke oder getrennt voneinander mit verschiedenen Materialien und/oder verschiedenen Dicken gebildet.
  • 10 illustriert die Bildung von fluorblockierenden Schichten 166 und 266 in Vorrichtungsregionen 100 bzw. 200. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 424 illustriert. Fluorblockierende Schichten 166 und 266 werden aus Materialien (wie etwa Metallen) gebildet, die eine gute Fähigkeit aufweisen, Fluor daran zu hindern, durch sie hindurch zu diffundieren und/oder die Fähigkeit aufweisen, Fluor darin einzuschließen. Außerdem weisen die Fluorsperrschichten 166 und 266 geringe Widerstandswerte auf. Die Fluorsperrschichten 166 und 266 könne aus einem Material gebildet werden, das eine hohe Dichte aufweist, beispielsweise mit einer Dichte von mehr als 8 g/cm3. Der Widerstand kann unter einem Wert von etwa 600 µm*cm liegen. Die Fluorsperrschichten 166 und 266 könne aus Metallen wie Wolfram, Kobalt, Molybdän oder Legierungen davon gebildet sein. Die Dicke der Fluorsperrschichten 166 und 266 kann groß genug sein, um mindestens einen Großteil (wie etwa mehr als 75 Prozent, oder mehr als 90 Prozent) des Fluors, das von den darüberliegenden Schichten diffundiert, davon abzuhalten, die Austrittsarbeit-Schichten 163 und 263 zu erreichen (wenn die Schichtfluorsperrschicht 266 nicht in nachfolgenden Prozessen entfernt wird). Die gewünschte Dicke bezieht sich auch auf das Material und die Fluorsperrschichten, die aus dichteren Materialien gebildet sind, können dünner gebildet sein, ohne ihre Fähigkeit zu opfern, Diffusion zu unterdrücken. Die Fluorsperrschichten 166 und 266 können auch andere hochdichte Materialien umfassen, wie etwa Siliziumnitrid, Siliziumoxid, aluminiumbasiertes Oxid oder dergleichen. Die dielektrische Fluorsperrschicht kann über oder unter der metallischen Fluorsperrschicht liegen. Diese Materialien sind zwar Dielektrika, können jedoch eine ausreichend hohe Dicke aufweisen, um wenigstens teilweise die Fluordiffusion zu verhindern, und dennoch klein genug für elektrisches Tunneln. Beispielsweise kann die Dicke des Dielektrikums kleiner sein als etwa 20 Å, und in dem Bereich zwischen etwa 5 Å und etwa 20 Å liegen.
  • Nach einigen Ausführungsformen dieser Offenbarung, in der Metalle wie Wolfram, Kobalt oder Molybdän verwendet werden, ist die Dicke der Fluorsperrschichten 166 und 266 größer als etwa 15 Å, um die Diffusion ausreichend zu unterdrücken. Die Dicke kann im Bereich zwischen etwa 15 Å und etwa 30 Å liegen.
  • Das Bildungsverfahren der Fluorsperrschichten 166 und 266 kann ALD umfassen. Der Abscheidungsprozess erfolgt unter Verwendung von Prozessgasen, die frei von Fluor sind, und die Prozessgase können Wasserstoff (H2) und ein metallhaltiges Prozessgas umfassen. Wenn etwa die Fluorsperrschichten 166 und 266 aus Wolfram gebildet sind, kann das entsprechende metallhaltige Prozessgas WClx, WBrx, WCN oder Kombinationen daraus umfassen. Das Prozessgas kann, muss aber nicht NH3 enthalten. Die Abscheidung kann bei einer Temperatur in dem Bereich zwischen etwa 200 °C und etwa 450 °C erfolgen. Die entstehenden Fluorsperrschichten 166 und 266 können eine kristalline Struktur aufweisen. Die jeweiligen Fluorsperrschichten 166 und 266 sind zum Zeitpunkt der Abscheidung frei von Fluor. Die Fluorsperrschichten 166 und 266 können Abschnitte derselben metallhaltigen Schicht sein und werden gleichzeitig unter Verwendung desselben Materialien und mit derselben Dicke oder können getrennt voneinander mit verschiedenen Materialien und/oder verschiedenen Dicken gebildet werden.
  • Mit Verweis auf 11 wird die Ätzmaske 165 gebildet und strukturiert, um die Fluorsperrschicht 166 zu schützen, während die Fluorsperrschicht 266 ( 10) offengelegt wird. Die Ätzmaske 165 kann aus Photoresist gebildet werden. Als nächstes wird die Fluorsperrschicht 266 in einem Ätzprozess entfernt, der die darunterliegende Deckschicht 264 offenlegt, wie gezeigt in 11. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 426 illustriert. Die Ätzmaske 165 wird dann etwa in einem Ascheprozess entfernt.
  • 12 illustriert die Bildung von Keimbildungsschichten 168 und 268, die für die Keimbildung verwendet werden, um bieder Bildung der nachfolgend abgeschiedenen fluorhaltigen Schichten 170 und 270 zu helfen. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 428 illustriert. Nach einigen Ausführungsformen werden die Keimbildungsschichten 168 und 268 unter Verwendung von ALD durch Pulsieren eines ersten Gases wie H2, B2H6, NH3 oder dergleichen und eines zweiten Gases gebildet, was zu einem Metallhalid führen kann, wie etwa WF6. Andere Verfahren, wie etwa CVD, können verwendet werden, und das Prozessgas kann WF6 und H2 und einige Trägergase wie Argon umfassen. Dementsprechend können die Keimbildungsschichten 168 und 268 Fluor enthalten. Die Abscheidung kann bei einer Temperatur in dem Bereich zwischen etwa 250 °C und etwa 450 °C erfolgen. Die Keimbildungsschichten 168 und 268 können eine Dicke in dem Bereich zwischen etwa 10 Å und etwa 50 Å aufweisen, während eine größere Dicke möglich ist. In Vorrichtungsregion 100 befindet sich die Keimbildungsschicht 168 über und möglicherweise in Kontakt mit der Fluorsperrschicht 166. In Vorrichtungsregion 200 befindet sich die Keimbildungsschicht 268 über und möglicherweise in Kontakt mit der Deckschicht 264. Durch die Zugabe einiger Unreinheiten in den Keimbildungsschichten 168 und 268 können die Keimbildungsschichten 168 und 268 amorph sein.
  • 13 illustriert einen Lückenfüllprozess, in dem die fluorhaltigen Metallschichten 170 und 270 gebildet werden, um die verbleibenden Öffnungen 159 und 259 (12) zu füllen. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 430 illustriert. Nach einigen Ausführungsformen werden die fluorhaltigen Metallschichten 170 und 270 unter Verwendung von CVD gebildet. Alternativ dazu kann ALD verwendet werden, wobei jedoch ALD eine niedrigere Abscheidungsrate aufweist als CVD. Das Prozessgas kann WF6, H2 und einige Trägergase wie Argon umfassen. Dementsprechend können fluorhaltige Metallschichten 170 und 270 auch Fluor enthalten. Die Abscheidung kann bei einer Temperatur in dem Bereich zwischen etwa 250 °C und etwa 450 °C erfolgen. Nach einigen Ausführungsformen dieser Offenbarung können die Fluorsperrschichten 166, Keimbildungsschicht 168 und die fluorhaltige Metallschicht 170 voneinander beispielsweise mit Transmissionselektronenmikroskopie (TEM) unterschieden werden, unabhängig davon, ob sie dasselbe Metall umfassen oder nicht. Die Keimbildungsschicht 268 und die fluorhaltige Metallschicht 270 können auch unter Verwendung von TEM voneinander unterschieden werden.
  • Nach dem Bilden der fluorhaltigen Metallschichten 170 und 270 kann ein Planarisierungsprozess wie ein chemisch-mechanischer Politur- (CMP) Prozess oder ein mechanischer Politurprozess durchgeführt werden, um überschüssige abschnitte der abgeschiedenen Schichten zu entfernen, wie in 13 gezeigt, was zu den Gatestapeln 174 und 274 führt, wie in 14 gezeigt. Der jeweilige Prozess ist in dem in 27 gezeigten Prozessablauf 400 als Prozess 432 illustriert. Die Gatestapel 174 und 274 umfassen die Gatedielektrika 161/162 bzw. 261/262 und die Gateelektroden 172 bzw. 272.
  • 15 illustriert die Bildung von Source-/Drainkontaktsteckern 84 und Source-/Drainsilizidregionen 86. Die Bildung der Source/Drain-Kontaktstecker 84 umfasst die Bildung der Kontaktöffnungen durch Ätzen der ILD 60, um die darunterliegenden Abschnitte von CESL 58 offenzulegen, und dann Ätzen der offenliegenden Abschnitte von CESL 58, um die Source/Drain Regionen 154 und 254 offenzulegen. In einem nachfolgenden Prozess wird eine Metallschicht (wie etwa eine Ti-Schicht) abgeschieden, und sich in die Kontaktöffnungen zu erstrecken. Eine Metallnitriddeckschicht kann ausgeführt werden. Ein Temperprozess wird dann durchgeführt, um die Metallschicht mit dem oberen Abschnitt der Source/Drain-Regionen 154/254 reagieren zu lassen, um Silizidregionen 86. Als nächstes bleibt entweder die zuvor gebildete Metallnitridschicht zurück, ohne entfernt zu werden, oder die zuvor gebildete Metallnitridschicht wird entfernt, gefolgt von der Abscheidung einer neuen Metallnitridschicht (wie etwa einer Titannitridschicht). Ein füllmetallisches Material wie Wolfram, Kobalt oder dergleichen wird dann in die Kontaktöffnungen gefüllt, gefolgt von einem Planarisierungsprozess zum Entfernen von überschüssigem Material, was zu Source/Drain-Kontaktsteckern 84 führt.
  • 16A illustriert das Bilden der Hartmasken 80 nach einigen Ausführungsformen. Die Bildung von Hartmasken 80 kann umfassen, einen Ätzprozess auszuführen, um Gatestapel 174 und 274 auszuschneiden, sodass Ausschnitte zwischen den gegenüberliegenden Abschnitten der Gate-Abstandhalter 146 und 246 gebildet werden, Füllen der Ausschnitte mit einem Dielektrikum und dann Ausführen eines Planarisierungsprozesses wie etwa eines CMP-Prozesses oder eines mechanischen Schleifprozesses zum Entfernen überschüssiger Abschnitte des Dielektrikums. Die Hartmasken 80 können aus Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen gebildet werden. Dann werden die Ätzstoppschichten 88 und Dielektrikumschichten 90 gebildet. Die Gatekontaktstecker 82 werden gebildet, um durch einen Abschnitt jeder der Hartmasken 80 zu reichen, um die Gateelektroden 172 und 272 zu kontaktieren. Der Kürzere Kanal-FinFET 184 und der längere Kanal-FinFET 284 werden so gebildet.
  • 16B illustriert eine perspektivische Ansicht eines FinFET, der entweder den kürzeren Kanal-FinFET 184 oder den längeren Kanal-FinFET 284 wie in 16A gezeigt darstellen kann. Der Gatekontaktstecker 82, Source/Drain-Silizidregionen 86, und Source/Drain-Kontaktstecker 84 sind ebenfalls illustriert.
  • Wie in 16A gezeigt, umfasst der kürzere Kanal-FinFET 184 eine Fluorsperrschicht 166, die die Diffusion des Fluors in darüberliegenden Schichten 168 und 170 in die Austrittsarbeit-Schicht 163 verhindert und/oder verringert. Aufgrund dessen wird die Erhöhung der Grenzspannung in dem kürzeren Kanal-FinFET 184 unterdrückt. Andererseits gibt es in dem längeren Kanal-FinFET 284, keine Fluorsperrschicht, und daher kann Fluor in die darunterliegenden Austrittsarbeit-Schicht 263 diffundieren und die Erhöhung der Grenzspannung des längeren Kanal-FinFET 284 verursachen. Durch die Geometriewirkung, wie in 26 gezeigt, mit der Erhöhung der Kanallängen, verringern sich die Grenzspannungen der FinFETs, wie durch Linie 94 dargestellt. Wenn die Fluorsperrschicht auch in dem längeren Kanal-FinFET gebildet wird, kann die Grenzspannung des längeren Kanal-FinFET zu niedrig sein als dass der längere Kanal-FinFET normal funktionieren kann. Dementsprechend wird durch Bilden der Fluorsperrschicht in dem kürzeren Kanal-FinFET aber nicht in dem längeren Kanal-FinFET die höhere Grenzspannung des kürzeren Kanal-FinFET unterdrückt, während die niedrige Grenzspannung des längeren Kanal-FinFET nicht unterdrückt wird. Linie 96 stellt die erhöhte Grenzspannung durch die Diffusion von Fluor in die längeren Kanal-FinFETs dar.
  • 17 bis 23 illustrieren Querschnittsansichten der Zwischenstufen in der Bildung von FinFETs nach einigen Ausführungsformen dieser Offenbarung. Sofern nicht speziell anders angemerkt, sind die Materialien und die Bildungsprozesse der Bauteile in diesen Ausführungsformen im Wesentlichen dieselben wie die gleichen Bauteile, die durch gleiche Referenzziffern in den vorhergehenden Ausführungsformen, die in 1 bis 6, 7A, 7B, 8A, 8B, 9 bis 15, 16A, und 16B dargestellt sind, gezeigt werden. Die Details zu den Bildungsprozessen und den Materialien der Bauteile aus den 17 bis 23 können daher in der Erklärung der vorhergehenden Ausführungsformen gefunden werden.
  • Die Anfangsschritte dieser Ausführungsformen sind im Wesentlichen dieselbe wie in 1 bis 9 gezeigt. Als nächstes werden mit Verweis auf 17, Fluorsperrschichten 166A und 266A durch Abscheidung gebildet. Die Materialien und die Bildungsverfahren der Fluorsperrschichten 166A und 266A können aus den Kandidatenmaterialien und den Kandidatenbildungsverfahren gebildet werden, wie in den vorhergehenden Ausführungsformen besprochen, und werden daher hierein nicht wiederholt. Die Dicke der Fluorsperrschichten 166A und 266A ist geringer als die Fluorsperrschichten 166 und 266 in 10. Ferner ist die Dicke der Fluorsperrschichten 166A und 266A klein genug, dass etwas Fluor (beispielsweise zwischen etwa 40 Prozent und etwa 70 Prozent) durchdringen kann, um eine merkliche Erhöhung der Grenzspannung der jeweiligen FinFETs zu erzeugen. Die Dicke wird in den nachfolgenden Absätzen ausführlich besprochen.
  • Dann wird die Ätzmaske 165 gebildet und strukturiert, sodass die verbleibenden Abschnitte die Fluorsperrschicht 166A in der Vorrichtungsregion 100 schützen, während die Fluorsperrschicht 266A offengelegt wird. Als nächstes wird die Fluorsperrschicht 266A in einem Ätzprozess entfernt, der die darunterliegende Deckschicht 264 offenlegt. Dann wird die Ätzmaske 165 entfernt, und die entstehende Struktur ist in 18 gezeigt.
  • 19 illustriert die Bildung der Fluorsperrschichten 166B und 266B, die gleichzeitig mit demselben Material gebildet werden. Die Materialien und die Bildungsverfahren der Fluorsperrschichten 166B und 266B können aus den Kandidatenmaterialien und den Kandidatenbildungsverfahren gebildet werden, wie in den zuvor besprochenen Ausführungsformen gezeigt, und werden daher hierein nicht wiederholt. Die Materialien der Fluorsperrschichten 166B und 266B können gleich wie oder anders sein als die Materialien der Fluorsperrschichten 166A und 266A. Die Fluorsperrschichten 166A und 166B werden gemeinsam als Fluorsperrschichten 166 bezeichnet. Unabhängig von demselben anderen Material oder anderen Materialien können Fluorsperrschichten 166A und 166B voneinander etwa unter Verwendung von TEM unterscheidbar sein.
  • Nach einigen Ausführungsformen dieser Offenbarung liegt die Dicke der Fluorsperrschicht 166 in demselben Bereich wie die Fluorsperrschicht 166 in 10. Außerdem ist die Dicke der Fluorsperrschicht 166 groß genug, sodass der Großteil (beispielsweise mehr als etwa 75 Prozent oder 90 Prozent) Fluor daran gehindert wird, die darunterliegende Austrittsarbeit-Schicht 163 zu erreichen. Die Erhöhung (wenn zutreffend) in der Grenzspannung durch das diffundierte Fluor, das in die darunterliegende Austrittsarbeit-Schicht 163 einbezogen ist, kann beispielsweise kleiner als etwa 0,05 V sein. Andererseits ist die Dicke der Fluorsperrschicht 266B klein genug, dass Fluor durch sie hindurch dringt, um die darunterliegende Austrittsarbeit-Schicht 263 zu erreichen und eine merkliche Erhöhung der Grenzspannung des entstehenden FinFET zu verursachen. Beispielsweise ist die der Grenzspannung durch das diffundierte Fluor, das in die darunterliegende Austrittsarbeit-Schicht 263 einbezogen ist, größer als etwa 0,07 V.
  • Nach einigen Ausführungsformen dieser Offenbarung ist die Dicke T2 der Fluorsperrschicht 166B geringer als etwa 25 Å, und kann in dem Bereich zwischen etwa 5 Å und etwa 15 Å liegen. Die Dicke T1 der Fluorsperrschicht 166 ist größer als etwa 15 Å, und kann in dem Bereich zwischen etwa 15 Å und etwa 30 Å liegen. Das Dickenverhältnis T2/T1 wird in einem gewählten Bereich gehalten. Wenn das Dickenverhältnis T2/T1 zu groß ist, bedeutet dies entweder, dass die Dicke T2 zu groß ist, was bedeutet, dass die Grenzspannung des entstehenden längeren Kanal-FinFET nicht ausreichend erhöht wird, oder die Dicke T1 zu gering ist, was bedeutet, dass die Grenzspannung des entstehenden kürzeren Kanal-FinFET nicht angemessen unterdrückt wird. Wenn das Dickenverhältnis T2/T1 zu gering ist, ist die Fluorsperrschicht 266B nicht angemessen in der Lage, die Diffusion von Fluor zu blockieren, sodass der Zweck des Bildens der Fluorsperrschicht 266B nicht erreicht wird. Nach einigen Ausführungsformen kann das Dickenverhältnis T2/T1 in dem Bereich zwischen etwa 0.2 und etwa 0.8 liegen.
  • In 20 werden die Keimbildungsschichten 168 und 268 und die fluorhaltigen Metallschichten 170 und 270 gebildet, gefolgt von einem Planarisierungsprozess zum Bilden von Gateelektroden 172 und 272 und der entsprechenden Gatestapel 174 und 274 wie in 21 gezeigt. Als nächstes werden die Source-/Drainkontaktstecker 84 und die Source-/Drainsilizidregionen 86 gebildet, wie in 22 gezeigt. Die Gatekontaktstecker 82 werden dann gebildet, wie in 23 gezeigt. Die Materialien und die Bildungsverfahren wurden in den vorherigen Ausführungsformen besprochen und werden hierin nicht wiederholt. FinFETs 184 und 284 werden so gebildet.
  • 24 illustriert FinFETs 184, 284, und 384, die in drei Vorrichtungsregionen 100, 200 bzw. 300 gebildet sind. Einige Details wie die Source-/Drain-Regionen der FinFETs 184, 284, und 384 sind nicht dargestellt. Jeder der FinFETs 184, 284 und 384 kann ein Kurzkanal-FinFET, ein Mittelkanal-FinFET, oder ein Langkanal-FinFET sein, wobei der Kanal der FinFET 184 kürzer ist als der Kanal des FinFET 284, und der Kanal des FinFET 284 kürzer ist als der Kanal des FinFET 384. Beispielsweise können die FinFETs 184, 284 und 384 ein Kurzkanal-FinFET, ein Mittelkanal-FinFET bzw. ein Langkanal-FinFET sein. Nach einigen Ausführungsformen dieser Offenbarung, ist die Dicke TA der Fluorsperrschicht 166 größer als die Dicke TB der Fluorsperrschicht 266, und die Dicke TB der Fluorsperrschicht 266 ist größer als die Dicke TC der Fluorsperrschicht 366. Beispielsweise kann das Verhältnis TB/TA in dem Bereich zwischen etwa 0.5 und etwa 0.8 liegen und das Verhältnis TC/TA kann in dem Bereich zwischen etwa 0.2 und etwa 0.6 liegen.
  • 25 illustriert FinFETs 184, 284 und 384' nach einigen Ausführungsformen. Diese Ausführungsformen sind ähnlich wie die Ausführungsformen aus 24, mit Ausnahme davon, dass in FinFET 384' keine Fluorsperrschicht vorhanden ist.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Merkmale. Durch Bilden von Fluorsperrschichten in kürzeren Kanaltransistoren wird die Erhöhung der jeweiligen Grenzspannung, die durch das diffundierte Fluor in der Austrittsarbeit-Schicht verursacht wird, im Wesentlichen eliminiert, und die Grenzspannungen der kürzeren Kanaltransistoren können niedriger gehalten werden. Die Grenzspannungen der längeren Kanaltransistoren sind geringer als die der kürzeren Kanaltransistoren. Dementsprechend kann das Verhindern der Diffusion von Fluordiffusion in ihre Austrittsarbeit-Schichten die Grenzspannungen zu gering halten. Die Fluorsperrschichten in den längeren Kanaltransistoren sind daher entweder dünner als in den kürzeren Kanaltransistoren oder vollständig entfernt, sodass die Grenzspannungen der längeren Kanal-FinFETs nicht unerwünscht zu weit verringert werden.
  • Nach einigen Ausführungsformen dieser Offenbarung umfasst ein Verfahren das Entfernen von Dummygatestapeln zum Bilden eines ersten Ausschnitts zwischen ersten Gate-Abstandhaltern und eines zweiten Ausschnitts zwischen zweiten Gate-Abstandhaltern, wobei sich der erste Gate-Abstandhalter und der zweiten Gate-Abstandhalter in einer kürzerer-Kanal-Vorrichtungsregion bzw. einer längerer-Kanal-Vorrichtungsregion befinden; Bilden einer ersten Austrittsarbeit-Schicht, die sich in den ersten Ausschnitt erstreckt, und einer zweiten Austrittsarbeit-Schicht, die sich in den zweiten Ausschnitt erstreckt; Bilden einer ersten Fluorsperrschicht über der ersten Austrittsarbeit-Schicht, wobei die erste Fluorsperrschicht aus einem fluorblockierenden Material gebildet ist; Bilden einer ersten fluorhaltigen Metallschicht über der ersten Austrittsarbeit-Schicht, wobei die erste fluorhaltige Metallschicht aus einem fluorhaltigen Material gebildet ist; und Bilden einer zweiten fluorhaltigen Metallschicht über der zweiten Austrittsarbeit-Schicht, wobei die zweite fluorhaltige Metallschicht und die zweite Austrittsarbeit-Schicht entweder frei von dem fluorblockierenden Material dazwischen sind oder eine zweite Fluorsperrschicht dazwischen aufweisen, wobei die zweite Fluorsperrschicht eine Dicke aufweist, die kleiner als eine Dicke der ersten Fluorsperrschicht ist. In einer Ausführungsform umfasst das Verfahren ferner das Bilden des fluorblockierenden Materials über der zweiten Austrittsarbeit-Schicht in einem gemeinsamen Prozess für das Bilden der ersten Fluorsperrschicht; und vor dem Bilden der zweiten fluorhaltigen Metallschicht das Entfernen des fluorblockierenden Materials von der längerer-Kanal-Vorrichtungsregion. In einer Ausführungsform umfasst das Verfahren ferner das Bilden einer erste Fluorsperr-Subschicht, die einen ersten Abschnitt umfasst, der die erste Austrittsarbeit-Schicht überlappt, und einen zweiten Abschnitt, der die zweite Austrittsarbeit-Schicht überlappt; das Entfernen der zweiten Austrittsarbeit-Schicht, und das Unentferntlassen der ersten Austrittsarbeit-Schicht; und das Bilden einer zweiten Fluorsperr-Subschicht, umfassend einen ersten Abschnitt, der den ersten Abschnitt der ersten Austrittsarbeit-Schicht überlappt, und einen zweiten Abschnitt, der die zweite Austrittsarbeit-Schicht überlappt, wobei die ersten Abschnitte der ersten und der zweiten Fluorsperr-Subschichten in Kombination die erste Fluorsperrschicht bilden und der zweite Abschnitt der zweiten Fluorsperr-Subschicht die zweite Fluorsperrschicht bildet. In einer Ausführungsform umfasst das Bilden der ersten fluorhaltigen Metallschicht das Abschneiden einer fluorfreien Metallschicht. In einer Ausführungsform umfasst die fluorfreie Metallschicht Wolfram, Kobalt oder Molybdän. In einer Ausführungsform umfasst das Bilden der ersten fluorhaltigen Metallschicht das Abscheiden einer Siliziumnitridschicht, einer Siliziumoxidschicht, oder einer aluminiumbasierten Oxidschicht. In einer Ausführungsform umfasst das Bilden der zweiten Fluorsperrschicht: das Abschieden einer Keimbildungsschicht; und das Abscheiden einer Metallfüllerregion, wobei die Keimbildungsschicht und die Metallfüllerregion Fluor umfassen.
  • Nach einigen Ausführungsformen dieser Offenbarung umfasst das Verfahren das Abscheiden einer ersten Austrittsarbeit-Schicht und einer zweiten Austrittsarbeit-Schicht in einer ersten Vorrichtungsregion bzw. einer zweiten Vorrichtungsregion; das Abscheiden einer ersten Fluorsperrschicht und einer zweiten Fluorsperrschicht in der ersten Vorrichtungsregion bzw. der zweiten Vorrichtungsregion, wobei sich die erste Fluorsperrschicht über der ersten Austrittsarbeit-Schicht befindet und die zweite Fluorsperrschicht über der zweiten Austrittsarbeit-Schicht befindet; Entfernen der zweiten Fluorsperrschicht; und Bilden einer ersten Metallfüllerschicht über der ersten Fluorsperrschicht, und einer zweiten Metallfüllerschicht über der zweiten Austrittsarbeit-Schicht. In einer Ausführungsform ist die erste Austrittsarbeit-Schicht in einem ersten Transistor enthalten, der einen ersten Kanal umfasst, und die zweite Austrittsarbeit-Schicht ist in einem zweiten Transistor enthalten, der einen zweiten Kanal umfasst, und der erste Kanal ist kürzer als der zweite Kanal. In einer Ausführungsform werden die erste Austrittsarbeit-Schicht und die zweite Austrittsarbeit-Schicht in einem gemeinsame Abscheidungsverfahren gebildet. In einer Ausführungsform werden die erste Fluorsperrschicht und die zweite Fluorsperrschicht in einem gemeinsamen Abscheidungsverfahren gebildet. In einer Ausführungsform umfasst das Verfahren ferner das abscheiden einer dritten Fluorsperrschicht und einer vierten Fluorsperrschicht in der ersten Vorrichtungsregion bzw. der zweiten Vorrichtungsregion, wobei sich die dritte Fluorsperrschicht über der ersten Fluorsperrschicht befindet. In einer Ausführungsform umfassen die erste Metallfüllerschicht und die zweite Metallfüllerschicht Fluor. In einer Ausführungsform umfasst das Abscheiden der ersten Fluorsperrschicht und der zweiten Fluorsperrschicht das Abscheiden einer fluorfreien Metallschicht.
  • Nach einigen Ausführungsformen dieser Offenbarung umfasst eine integrierte Schaltungsvorrichtung einen ersten Transistor und einen zweiten Transistor. Der erste Transistor umfasst eine erste Austrittsarbeit-Schicht; eine ersten Deckschicht über der ersten Austrittsarbeit-Schicht; eine erste Fluorsperrschicht über der ersten Deckschicht; und eine erste Metallfüllerregion über der ersten Fluorsperrschicht, wobei die erste Metallfüllerregion Fluor umfasst. Der zweite Transistor umfasst eine zweite Austrittsarbeit-Schicht; eine zweite Deckschicht über der zweiten Austrittsarbeit-Schicht; und eine zweiten Metallfüllerregion über und in Kontakt mit der zweiten Deckschicht, wobei die zweite Metallfüllerregion Fluor umfasst. In einer Ausführungsform sind die erste Deckschicht und die zweite Deckschicht aus einem selben Material gebildet und die erste Metallfüllerregion und die zweite Metallfüllerregion sind aus einem selben Material gebildet. In einer Ausführungsform ist die erste Fluorsperrschicht frei von Fluor, und die erste Fluorsperrschicht umfasst Wolfram, Kobalt oder Molybdän. In einer Ausführungsform hat die erste Fluorsperrschicht eine Dicke von mehr als etwa 15 Å. In einer Ausführungsform umfassen die erste Metallfüllerregion und die zweite Metallfüllerregion Fluor. In einer Ausführungsform umfasst die zweite Deckschicht TiN.
  • Obiges umschreibt Merkmale mehrerer Ausführungsformen, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen. Fachleuten sollte bekannt sein, dass sie die vorliegende Offenbarung leicht als Grundlage für den Entwurf oder die Modifizierung anderer Verfahren und Strukturen verwenden können, um dieselben Zwecke zu erfüllen und/oder dieselben Vorteile der hierin vorgestellten Ausführungsformen zu erreichen. Fachleute sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren umfassend: Entfernen von Dummygatestapeln zum Bilden eines ersten Ausschnitts zwischen ersten Gate-Abstandhaltern und eines zweiten Ausschnitts zwischen zweiten Gate-Abstandhaltern, wobei die ersten Gate-Abstandhalter in einer kürzerer-Kanal-Vorrichtungsregion und die zweiten Gate-Abstandhalter in einer längerer-Kanal-Vorrichtungsregion sind; Bilden einer ersten Austrittsarbeit-Schicht, die sich in den ersten Ausschnitt erstreckt, und einer zweiten Austrittsarbeit-Schicht, die sich in den zweiten Ausschnitt erstreckt; Bilden einer ersten Fluorsperrschicht über der ersten Austrittsarbeit-Schicht, wobei die erste Fluorsperrschicht über einem fluorblockierenden Material gebildet wird; Bilden einer ersten fluorhaltigen Metallschicht über der ersten Austrittsarbeit-Schicht, wobei die erste fluorhaltigen Metallschicht aus einem fluorhaltigen Material gebildet wird; und Bilden einer zweiten fluorhaltigen Metallschicht über der zweiten Austrittsarbeit-Schicht, wobei die zweite fluorhaltigen Metallschicht und die zweite Austrittsarbeit-Schicht entweder frei von den fluorblockierenden Materials dazwischen sind oder eine zweiten Fluorsperrschicht dazwischen aufweisen, wobei die zweite Fluorsperrschicht eine Dicke hat, die geringer als eine Dicke der ersten Fluorsperrschicht ist.
  2. Verfahren nach Anspruch 1, ferner umfassend: Bilden des fluorblockierenden Materials über der zweiten Austrittsarbeit-Schicht in einem gemeinsamen Prozess zum Bilden der ersten Fluorsperrschicht; und vor dem Bilden der zweiten fluorhaltigen Metallschicht, Entfernen des fluorblockierenden Materials von der längerer-Kanal-Vorrichtungsregion.
  3. Verfahren nach Anspruch 1 oder 2, ferner umfassend: Bilden einer ersten Fluorsperr-Subschicht, die einen ersten Abschnitt umfasst, welcher die erste Austrittsarbeit-Schicht überlappt, und einen zweiten Abschnitt, der die zweite Austrittsarbeit-Schicht überlappt; Entfernen des zweiten Abschnitts der ersten Fluorsperr-Subschicht und Belassen des ersten Abschnitts der ersten Fluorsperr-Subschicht unentfernt; und Bilden einer zweiten Fluorsperr-Subschicht, die einen ersten Abschnitt umfasst, welcher die erste Austrittsarbeit-Schicht überlappt, und einen zweiten Abschnitt, der die zweite Austrittsarbeit-Schicht überlappt, wobei die ersten Abschnitte der ersten Fluorsperr-Subschicht und der zweiten Fluorsperr-Subschicht in Kombination die erste Fluorsperrschicht bilden, und der zweite Abschnitt der zweiten Fluorsperr-Subschicht die zweite Fluorsperrschicht bildet.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der ersten fluorhaltigen Metallschicht das Abscheiden einer fluorfreien Metallschicht umfasst.
  5. Verfahren nach Anspruch 4, wobei die fluorfreie Metallschicht Wolfram, Kobalt oder Molybdän umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der ersten Fluorsperrschicht das Abscheiden einer Siliziumnitridschicht, einer Siliziumoxidschicht oder einer aluminiumbasierten Oxidschicht umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der zweiten fluorhaltigen Metallschicht umfasst: Abscheiden einer Keimbildungsschicht; und Abscheiden einer Metallfüllerregion, wobei die Keimbildungsschicht und die Metallfüllerregion Fluor umfassen.
  8. Verfahren umfassend: Abscheiden einer ersten Austrittsarbeit-Schicht in einer ersten Vorrichtungsregion und einer zweiten Austrittsarbeit-Schicht in einer zweiten Vorrichtungsregion; Abscheiden einer ersten Fluorsperrschicht in der ersten Vorrichtungsregion und einer zweiten Fluorsperrschicht in der zweiten Vorrichtungsregion, wobei die erste Fluorsperrschicht über der ersten Austrittsarbeit-Schicht liegt, und die zweite Fluorsperrschicht über der zweiten Austrittsarbeit-Schicht liegt; Entfernen der zweiten Fluorsperrschicht; und Bilden einer ersten Metallfüllerschicht über der ersten Fluorsperrschicht, und einer zweiten Metallfüllerschicht über der zweiten Austrittsarbeit-Schicht.
  9. Verfahren nach Anspruch 8, wobei die erste Austrittsarbeit-Schicht ein Abschnitt des ersten Gates in einem ersten Transistor ist, der eine erste Kanallänge aufweist, und die zweite Austrittsarbeit-Schicht ein Abschnitt eines zweiten Gates in einem zweiten Transistor ist, der eine zweite Kanallänge aufweist, und die erste Kanallänge kleiner als die zweite Kanallänge ist.
  10. Verfahren nach Anspruch 8 oder 9, wobei die erste Austrittsarbeit-Schicht und die zweite Austrittsarbeit-Schicht in einem gemeinsamen Abscheidungsverfahren gebildet werden.
  11. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 10, wobei die erste Fluorsperrschicht und die zweite Fluorsperrschicht in einem gemeinsamen Abscheidungsprozess gebildet werden.
  12. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, ferner umfassend: Abscheiden einer dritten Fluorsperrschicht in der ersten Vorrichtungsregion und einer vierten Fluorsperrschicht in der zweiten Vorrichtungsregion, wobei die dritte Fluorsperrschicht über der ersten Fluorsperrschicht liegt.
  13. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 12, wobei die erste Metallfüllerschicht und die zweite Metallfüllerschicht Fluor umfassen.
  14. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 13, wobei das Abscheiden der ersten Fluorsperrschicht und der zweiten Fluorsperrschicht das Abscheiden einer fluorfreien Metallschicht umfasst.
  15. Integrierte-Schaltung-Vorrichtung aufweisend: einen ersten Transistor aufweisend: - eine erste Austrittsarbeit-Schicht; - eine erste Deckschicht über der ersten Austrittsarbeit-Schicht; - eine erste Fluorsperrschicht über der ersten Deckschicht; und - eine erste Metallfüllerregion über der ersten Fluorsperrschicht, wobei die erste Metallfüllerregion Fluor aufweist; und einen zweiten Transistor aufweisend: - eine zweite Austrittsarbeit-Schicht; - eine zweite Deckschicht über der ersten Austrittsarbeit-Schicht; und - eine zweite Metallfüllerregion über und in Kontakt mit der zweiten Deckschicht, wobei die zweite Metallfüllerregion Fluor umfasst.
  16. Integrierte-Schaltung-Vorrichtung nach Anspruch 15, wobei die erste Deckschicht und die zweite Deckschicht aus demselben Material gebildet sind und die erste Metallfüllerregion und die zweite Metallfüllerregion aus demselben Material gebildet sind.
  17. Integrierte-Schaltung-Vorrichtung nach Anspruch 15 oder 16, wobei die erste Fluorsperrschicht frei von Fluor ist, und die erste Fluorsperrschicht Wolfram, Kobalt oder Molybdän umfasst.
  18. Integrierte-Schaltung-Vorrichtung nach einem der Ansprüche 15 bis 17, wobei die erste Fluorsperrschicht eine Dicke von mehr als etwa 15 Å aufweist.
  19. Integrierte-Schaltung-Vorrichtung nach einem der Ansprüche 15 bis 18, wobei die erste Metallfüllerregion und die zweite Metallfüllerregion Fluor umfassen.
  20. Integrierte-Schaltung-Vorrichtung nach einem der Ansprüche 15 bis 20, wobei die zweite Deckschicht TiN umfasst.
DE102019126285.2A 2019-09-16 2019-09-30 Steuerung von Schwellenspannungen durch Blockierschichten Active DE102019126285B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/571,944 US11430652B2 (en) 2019-09-16 2019-09-16 Controlling threshold voltages through blocking layers
US16/571,944 2019-09-16

Publications (2)

Publication Number Publication Date
DE102019126285A1 true DE102019126285A1 (de) 2021-03-18
DE102019126285B4 DE102019126285B4 (de) 2021-09-02

Family

ID=74686277

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019126285.2A Active DE102019126285B4 (de) 2019-09-16 2019-09-30 Steuerung von Schwellenspannungen durch Blockierschichten

Country Status (5)

Country Link
US (3) US11430652B2 (de)
KR (1) KR102310687B1 (de)
CN (1) CN112510090A (de)
DE (1) DE102019126285B4 (de)
TW (1) TWI751611B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11430652B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling threshold voltages through blocking layers
US20220352328A1 (en) * 2021-04-28 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Disposable Hard Mask for Interconnect Formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120230086A1 (en) * 2011-03-08 2012-09-13 National Chiao Tung University Static random access memory cell and method of operating the same
US20170040220A1 (en) * 2015-08-07 2017-02-09 Globalfoundries Inc. Methods for forming transistor devices with different threshold voltages and the resulting devices
DE102017124635A1 (de) * 2016-11-29 2018-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiterbauelement und Herstellungsverfahren

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787466B2 (en) * 2002-02-15 2004-09-07 Applied Materials, Inc. High throughout process for the formation of a refractory metal nucleation layer
US8940626B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US20140103404A1 (en) * 2012-10-17 2014-04-17 International Business Machines Corporation Replacement gate with an inner dielectric spacer
KR20140121634A (ko) * 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8975142B2 (en) * 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
KR20150093384A (ko) 2014-02-07 2015-08-18 에스케이하이닉스 주식회사 저저항 텅스텐계 매립게이트구조물을 갖는 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
KR20160139814A (ko) 2015-05-28 2016-12-07 삼성전자주식회사 반도체 소자 및 그 제조방법
TWI653673B (zh) * 2015-08-27 2019-03-11 聯華電子股份有限公司 半導體結構以及其製作方法
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
KR102286112B1 (ko) * 2015-10-21 2021-08-04 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9853123B2 (en) * 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
CN106847685A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US9779997B2 (en) * 2015-12-31 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN107301950A (zh) * 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US10049940B1 (en) * 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10204828B1 (en) * 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics
US11075275B2 (en) * 2018-03-01 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate fill for short-channel and long-channel semiconductor devices
US11309217B2 (en) * 2018-03-01 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug and method of formation
US11018234B2 (en) * 2018-07-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10804163B2 (en) * 2018-10-31 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of metal gate formation and structures formed by the same
US11289578B2 (en) * 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US10916504B2 (en) * 2019-06-14 2021-02-09 Sandisk Technologies Llc Three-dimensional memory device including electrically conductive layers with molybdenum-containing liners
US11430652B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling threshold voltages through blocking layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120230086A1 (en) * 2011-03-08 2012-09-13 National Chiao Tung University Static random access memory cell and method of operating the same
US20170040220A1 (en) * 2015-08-07 2017-02-09 Globalfoundries Inc. Methods for forming transistor devices with different threshold voltages and the resulting devices
DE102017124635A1 (de) * 2016-11-29 2018-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiterbauelement und Herstellungsverfahren

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Also Published As

Publication number Publication date
KR102310687B1 (ko) 2021-10-13
KR20210032873A (ko) 2021-03-25
US20240222108A1 (en) 2024-07-04
US11961732B2 (en) 2024-04-16
US20220359193A1 (en) 2022-11-10
CN112510090A (zh) 2021-03-16
US11430652B2 (en) 2022-08-30
DE102019126285B4 (de) 2021-09-02
TW202114058A (zh) 2021-04-01
US20210082694A1 (en) 2021-03-18
TWI751611B (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102019112394A1 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102020109491A1 (de) Halbleitervorrichtung und verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102019127213A1 (de) Gate-Widerstandsreduktion durch leitfähige Schicht mit niedriger Resistivität
DE102019118621A1 (de) Strukturieren ultraschmaler gräben durch plasmaätzen
DE102020115554A1 (de) Doppeldotiermittel-source/drain-regionen und deren herstellungsverfahren
DE102020114875A1 (de) Finfet-vorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102023105387A1 (de) Unter epitaxie isolationsstruktur
DE102023102401A1 (de) Halbleitervorrichtung und verfahren zum ausbilden von dieser
DE102021102596B4 (de) Halbleitervorrichtung und verfahren
DE102022100092A1 (de) Gatestrukturen in transistorvorrichtungen und verfahren zu deren herstellung
DE102021116508A1 (de) Kontakte für halbleitervorrichtungen und verfahren, um diese zu bilden
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102020129561A1 (de) Verfahren zum abstimmen von schwellenspannungen vontransistoren
DE102020120848A1 (de) Implementierung mehrerer schwellspannung durch einsatz von lanthan
DE102020107379A1 (de) Luftspacer um kontaktstecker und verfahren zu deren ausbildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final