DE102018208546A1 - Strukturen aus dem mittleren bereich der fertigungslinie - Google Patents

Strukturen aus dem mittleren bereich der fertigungslinie Download PDF

Info

Publication number
DE102018208546A1
DE102018208546A1 DE102018208546.3A DE102018208546A DE102018208546A1 DE 102018208546 A1 DE102018208546 A1 DE 102018208546A1 DE 102018208546 A DE102018208546 A DE 102018208546A DE 102018208546 A1 DE102018208546 A1 DE 102018208546A1
Authority
DE
Germany
Prior art keywords
source
contacts
gate
structures
drain regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018208546.3A
Other languages
English (en)
Inventor
Hui Zang
Ruilong Xie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018208546A1 publication Critical patent/DE102018208546A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

Die vorliegende Offenbarung betrifft generell Halbleiterstrukturen und insbesondere Strukturen und Herstellungsverfahren aus dem mittleren Bereich der Fertigungslinie. Die Strukturen weisen auf: mehrere Gate-Strukturen mit Source- und Drain-Gebieten; Kontakte, die mit den Source- und Drain-Gebieten verbunden sind; Kontakte, die mit den Gate-Strukturen verbunden sind und die zu den Kontakten, die mit den Source- und Drain-Gebieten verbunden sind, versetzt sind; und Zwischenverbindungsstrukturen in elektrischem Kontakt mit den Kontakten der Gate-Strukturen und den Kontakten der Source- und Drain-Gebiete.

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Offenbarung betrifft generell Halbleiterstrukturen und insbesondere Strukturen und Herstellungsverfahren, die im mittleren Bereich der Fertigungslinie angewendet werden.
  • HINTERGRUND
  • Mit der kontinuierlichen Größenskalleren von Halbleiterprozessen, beispielsweise der Größenreduzierung, werden auch die gewünschten Abstände zwischen Strukturelementen (d.h., die Summe aus Linienabstand und Linienbreite) ebenfalls kleiner. Dazu wird es den kleineren Technologieknoten zunehmen schwierig, Metallisierungsstrukturen am Ende der Fertigungslinie (BEOL) und in der Mitte im mittleren Bereich der Fertigungslinie (MOL), beispielsweise Zwischenverbindungen, aufgrund der Skalierung kritischer Abmessungen (CD) und der Prozesseigenschaften, sowie aufgrund von Materialien, die zur Herstellung derartiger Strukturen verwendet werden, herzustellen.
  • Beispielsweise ist es bei der Herstellung von Zwischenverbindungsstrukturen für Source- und Drain-Kontakte erforderlich, dielektrisches Material, das benachbart zu den Gate-Strukturen angeordnet ist, zu entfernen. Die Entfernung des dielektrischen Materials wird durch einen Ätzprozess bewerkstelligt, der ebenfalls dazu tendiert, das Abstandshaltermaterial der Gate-Struktur anzugreifen. D.h., das dielektrische Material mit niedriger Dielektrizitätskonstante, das für den Abstandshalter oder Seitenwände der Gate-Struktur verwendet wird, kann in den nachgeordneten Ätzprozessen erodiert werden, die zur Bildung der Öffnungen für die Source- und Drain-Kontakte angewendet werden. Dieser Materialverlust legt das Metallmaterial der Gate-Struktur frei, woraus sich ein Kurzschluss zwischen dem Metallmaterial der Gate-Struktur und dem Metallmaterial, das zur Herstellung des Kontakts selbst verwendet wird, ergibt.
  • In aktuellen Strukturen muss ein Minimalabstand zwischen den Gate-Strukturen vorhanden sein, um einen Kurzschluss zwischen den Gate-Kontakten und den Source- und Drain-Kontakten zu vermeiden. Mit der voranschreitenden Größenreduzierung von Bauelementen wird es zunehmend schwierig, den minimalen Abstand und andere Entwurfsregeln in diesen konventionellen Strukturen einzuhalten.
  • ÜBERBLICK
  • In einem Aspekt der Offenbarung umfasst eine Struktur: mehrere Gate-Strukturen, die Source- und Drain-Gebiete aufweisen; Kontakte, die eine Verbindung zu den Source- und Drain-Gebieten herstellen; Kontakte, die eine Verbindung zu den Gate-Strukturen herstellen, die zu den Kontakten, die eine Verbindung zu den Source- und Drain-Gebieten herstellen, versetzt bzw. beabstandet sind; und Zwischenverbindungsstrukturen in elektrischem Kontakt mit den Kontakten der Gate-Strukturen und den Kontakten der Source- und Drain-Gebieten.
  • In einen Aspekt der Offenbarung umfasst eine Struktur: mehrere Gate-Strukturen mit Source- und Drain-Gebieten, Gate-Kontakten und Zwischenverbindungsstrukturen, die sich von den Gate-Kontakten aus erstrecken; mindestens einem Source- und Drain-Kontakt auf einer anderen Höhe als die Höhe der Gate-Kontakte; und einer Beschichtung über den Source- und Drain-Gebieten, den Gate-Kontakten und dem mindestens einen Source- und Drain-Kontakt.
  • In einem Aspekt der Offenbarung umfasst ein Verfahren: Bilden mehrerer Gate-Strukturen mit Source- und Drain-Gebieten und Gate-Materialien; Bilden einer dielektrischen Zwischenschicht mit einer Opferschicht und einer dielektrischen Abdeckung über Source- und Drain-Gebieten von Gate-Strukturen; Öffnen eines Bereichs der dielektrischen Abdeckung zum Freilegen der Opferschicht; Entfernen der Opferschicht zum Freilegen der Source- und Drain-Gebiete; Freilegen der Gate-Materialien; Bilden von beabstandeten Metallisierungsstrukturelementen gleichzeitig auf den freigelegten Gate-Materialien und den freigelegten Source- und Drain-Gebieten; und Bilden von beabstandeten Kontakten, die sich von den Metallisierungsstrukturelementen aus erstrecken.
  • Figurenliste
  • Die vorliegende Offenbarung ist in der folgenden detaillierten Beschreibung mit Verweis auf die angegebenen mehreren Zeichnungen in Form von nicht beschränkenden Beispielen anschaulicher Ausführungsformen der vorliegenden Offenbarung angegeben.
    • 1A-1C zeigen, neben anderen Strukturelementen, Gate-Strukturen und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 2A und 2B zeigen, neben anderen Strukturelementen, flache Grabenisolationsgebiete und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 3A-3G zeigen, neben anderen Strukturelementen, flache Grabenisolationsstrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 4A-4C zeigen, neben anderen Strukturelementen, Strukturen mit freigelegtem amorphen Silizium und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 5A-5C zeigen, neben anderen Strukturelementen, Strukturen mit freigelegten Source- und Drain-Gebieten und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 6A-6C zeigen, neben anderen Strukturelementen, Platzhalter-Füllmaterialien und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 7A bis 7D zeigen, neben anderen Strukturelementen, Gate-Kontakte und Source- und Drain-Kontakte und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
    • 8A-8D zeigen, neben anderen Strukturelementen, Verbindungsstrukturen und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft generell Halbleiterstrukturen und insbesondere Strukturen und Herstellungsverfahren aus der Mitte bzw. dem mittleren Bereich der Fertigungslinie. In Ausführungsformen ermöglichen es die Prozesse und Strukturen, die hierin bereitgestellt sind, dass die Gate-Kontakte und die Source- und Drain-Kontakte zueinander versetzt bzw. beabstandet sind. Ferner ermöglichen es die hierin bereitgestellten Prozesse und Strukturen, dass die Gate-Kontakte und die Source- und Drain-Kontakte unterschiedliche Höhen zueinander aufweisen. Vorteilhafterweise können durch das Versetzen von Kontakten auf unterschiedlichen Höhen Kurzschlüsse während der Fertigungsprozesse zwischen den Metallisierungsstrukturelementen der Gate-Strukturen und den Metallisierungsstrukturelementen der Source- und Drain-Gebiete vermieden werden, d.h., während der Herstellung der Zwischenverbindungsstrukturen für die Gate-Kontakte und die Source- und Drain-Kontakte. Auf diese Weise stellen die hierin beschriebenen Strukturen und Prozesse Zwischenverbindungsstrukturen sowohl für die Gate-Kontakte als auch die Source- und Drain-Kontakte ohne die Problematik von Kurzschlüssen bereit.
  • Die Strukturen der vorliegenden Offenbarung können auf viele Arten unter Anwendung einer Anzahl an unterschiedlichen Anlagen hergestellt werden. Im Allgemeinen werden jedoch die Verfahren und die Anlagen verwendet, um Strukturen mit Abmessungen im Maßstab von Mikrometer und Nanometer herzustellen. Die Verfahren, d.h., die Technologien, die zur Herstellung der Struktur der vorliegenden Offenbarung eingesetzt werden, sind der Technik der integrierten Schaltungen (IC) entnommen. Beispielsweise werden die Strukturen auf Scheiben hergestellt und werden in Schichten aus Material realisiert, die durch photolithographische Prozesse auf der Oberseite einer Scheibe strukturiert werden. Insbesondere werden bei der Herstellung der Strukturen drei grundlegende Baublöcke angewendet: (i) Abscheiden dünner Schichten aus Material auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf der Oberseite der Schichten durch photolithographische Abbildung, und (iii) Ätzen der Schichten selektiv zu der Maske.
  • 1A-1C zeigen eine anfängliche Struktur und entsprechende Fertigungsprozesse gemäß Aspekten der vorliegenden Offenbarung. Genauer gesagt, 1A zeigt eine Draufsicht einer Struktur 100, während in 1B eine Querschnittsansicht in einer X-Achsenrichtung gezeigt ist, und in 1C eine Querschnittsansicht in einer Y-Achsenrichtung gezeigt ist. Die Struktur 100 umfasst ein aktives Gebiet 110, das zur Bildung eines Bauelements, beispielsweise eines Transistors, dient. Die Struktur 100 umfasst ferner ein Substrat 105, das aus einem geeigneten Halbleitermaterial aufgebaut ist. Beispielsweise kann das Substrat 105 aus einem beliebigen geeigneten Material zusammengesetzt sein, etwa, ohne darauf einschränken zu wollen, Si, SiGe, SiGeC, SiC, GaAs, InAs, InP, und dergleichen. In Ausführungsformen kann das Substrat 105 eine Stegstruktur oder ein ebenes Strukturelement repräsentieren.
  • In Ausführungsformen kann eine Stegstruktur unter Anwendung einer Seitenwand Abbildungstransfer-(SIT-) Technik hergestellt werden. In einem Beispiel einer SIT-Technik wird ein Zentrierungsmaterial, beispielsweise SiO2, auf dem Substrat 105 unter Anwendung konventioneller CVD-Prozesse abgeschieden. Es wird ein Lackmaterial auf dem Zentrierungsmaterial gebildet und belichtet, um ein Muster (Öffnungen) zu erzeugen. Es wird eine reaktive Ionenätzung durch die Öffnungen hindurch ausgeführt, um die Zentrierung zu bilden. In Ausführungsformen können die Zentrierungselemente unterschiedliche Breiten und/oder Abstände abhängig von den gewünschten Abmessungen der Stegstrukturen aufweisen. Es werden Abstandshalter an den Seitenwänden der Zentrierungselemente hergestellt, die vorzugsweise aus Material sind, das sich von demjenigen der Zentrierungselemente unterscheidet, und diese werden unter Anwendung konventioneller Abscheideprozesse hergestellt, die dem Fachmann bekannt sind. Die Abstandshalter können eine Breite haben, die beispielsweise mit den Abmessungen der schmalen Stegstrukturen bzw. Fin-Strukturen übereinstimmen. Die Zentrierungselemente werden unter Anwendung eines konventionellen Ätzprozesses entfernt oder abgelöst, wobei dies selektiv zu dem Zentrierungsmaterial erfolgt. Es wird dann ein Ätzprozess innerhalb des Zwischenraums der Abstandshalter ausgeführt, um sub-lithographische Strukturelemente zu bilden. Die Seitenwandabstandshalter können dann entfernt werden.
  • Es werden Gate-Strukturen 150 auf dem Substrat 105 hergestellt. Es sollte beachtet werden, dass die Gate-Strukturen 150 ebene Gate-Strukturen oder Steg-FET-Gate-Strukturen sein können. In jedem Falle können die Gate-Strukturen 150 unter Anwendung beliebiger bekannter Gate-Herstellungsprozesse erzeugt werden, beispielsweise durch Austausch-Gate-Herstellungsprozesse, die im Stand der Technik bekannt sind. Daher können die Gate-Strukturen 150 Austausch-Gate-Strukturen sein. In Ausführungsformen beginnt der Gate-Herstellungsprozess mit Platzhalter-Gate-Materialien, beispielsweise Polysilizium (Poly-Si), um Platzhalter-Gate-Strukturen zu bilden. Es werden Source- und Drain-(S/D-) Gebiete 115 an den Seiten der Platzhalter-Gate-Strukturen in dem Substrat 105 unter Anwendung beispielsweise eines konventionellen Verfahrens hergestellt. Beispielsweise können die S/D-Gebiete 115 durch einen Ionenimplantationsprozess, durch einen Dotierprozess oder durch einen Diffusionsprozess hergestellt werden, wie dies dem Fachmann bekannt ist, sodass keine weitere Erläuterung zum Verständnis der vorliegenden Offenbarung erforderlich ist. In weiteren Ausführungsformen können die S/D-Gebiete 115 erhabene S/D-Gebiete sein, die durch epitaktisches Aufwachsen auf den Oberflächen des Substrats 105 zwischen den Platzhalter-Gate-Strukturen gebildet sind. Auf diese Weise beinhalten die mehreren Gate-Strukturen 150 die S/D-Gebiete 115.
  • Es können Seitenwandabstandshalter 140, beispielsweise in Form eines Dielektrikums mit kleiner Dielektrizitätskonstante, auf den Seitenwänden der Platzhalter-Gate-Materialien abgeschieden werden. Die Seitenwandabstandshalter 140 können durch konventionelle CVD-Prozesse aufgebracht werden mit einem anschließenden Strukturierungsprozess, etwa einem anisotropen Ätzprozess, wobei Material von horizontalen Oberflächen der Struktur entfernt wird. Eine Beschichtung 120 wird auf Seitenwänden der Abstandshalter 140 der Platzhalter-Gate-Strukturen und über den S/D-Gebieten 115 abgeschieden. In Ausführungsformen kann die Beschichtung 120 durch chemische Dampfabscheidung-(CVD-) Prozesse abgeschieden werden. Die Beschichtung 120 kann aus einem beliebigen geeigneten Material, beispielsweise SiN, aufgebaut sein.
  • 1B und 1C zeigen ein amorphes Silizium-(a-Si) Material 125, das über der Beschichtung 120 abgeschieden ist. Auf diese Weise liegt das α-Si-Material 125 über den S/D-Gebieten 115. Das α-Si-Material 125 kann durch konventionelle Abscheideprozesse, beispielsweise CVD-Prozesse, abgeschieden werden, woran sich ein Ätzvorgang anschließt. Das α-Si-Material 125 wird unter Anwendung einer reaktiven Ionenätzung (RIE) mit Chemien geätzt, die selektiv zu dem α-Si-Material 125 sind. In Ausführungsformen wird das α-Si-Material 125 auf eine Höhe von beispielsweise in einem Bereich von ungefähr 10nm - 50nm vertieft bzw. ausgespart. Es wird eine dielektrische Zwischenschicht (ILD) 130 in den Vertiefungen über dem α-Si-Material 125 abgeschieden. Die ILD-Schicht 130 kann aus einem beliebigen geeigneten dielektrischen Material, beispielsweise Oxid, aufgebaut sein, das durch einen CVD-Prozess abgeschieden wird. Auf die Abscheidung der ILD-Schicht 130 folgt ein chemisch mechanischer Polier-(CMP-) Prozess. Auf diese Weise wird eine ILD-Schicht mit einem Zweifachschicht-Material geschaffen: eine unten liegende Opferschicht, d.h., das α-Si-Material 125, und eine obere dielektrische Abdeckung, d.h., die ILD-Schicht 130. Insbesondere weist eine ILD-Schicht eine Opferschicht und eine dielektrische Abdeckung über den Source- und Drain-Gebieten 115 der Gate-Strukturen 150 auf.
  • Die Platzhalter-Gate-Materialien, beispielsweise Poly-Si, werden entfernt, wodurch Gräben gebildet werden und das Substrat 105 freigelegt wird. Die Platzhalter-Gate-Materialien als Zentrierungselemente werden unter Anwendung eines konventionellen Ätzprozesses, der selektiv zu den Platzhalter-Gate-Materialien ist, entfernt oder abgelöst. Die Gate-Strukturen 150 werden in den Gräben auf dem Substrat 105 gebildet. In Ausführungsformen enthalten die Gate-Strukturen 150 dielektrische Gate-Materialien und Metallisierungsstrukturen. Die dielektrischen Gate-Materialen können beispielsweise ein dielektrisches Gate-Material mit einer großen Dielektrizitätskonstante sein, beispielsweise Dielektrika auf der Grundlage von Hafnium. In weiteren Ausführungsformen können die dielektrischen Materialien mit großer Dielektrizitätskonstante enthalten, ohne darauf eingeschränkt zu sein: Al2O3, Ta2O3, TiO2, La2O3, SrTiO3, LaAlO3, ZrO2, Y2O3, Gd2O3, und Kombinationen, die Mehrfachschichten davon enthalten. Die Metallisierungsstrukturelemente, d.h., das Gate-Material 135, kann ein Metall für die Austrittsarbeit oder eine Kombination aus Metallen abhängig von der speziellen Anwendung und den Entwurfsparametern enthalten. Beispielsweise kann in Ausführungsformen das Gate-Material 135 aus diversen Beispielen ein Wolfram-(W) Material sein.
  • In Ausführungsformen wird das Gate-Material 135 geätzt, um Vertiefungen in den Gate-Strukturen 150 herzustellen. Auf diese Weise werden die Gate-Strukturen 150 zu vertieften Gate-Strukturen. Das Gate-Material 135 kann unter Anwendung von Ätzprozessen geätzt werden, die in Bezug auf das Gate-Material 135 selektiv sind, beispielsweise durch einen nasschemischen Ätzprozess. Es wird ein Deckmaterial 145 in Vertiefungen über dem Gate-Material 135 abgeschieden, beispielsweise unter Anwendung eines CVD-Prozesses, woran sich ein CMP-Prozess anschließt. Das Deckmaterial 145 kann ein beliebiges geeignetes Deckmaterial sein, beispielsweise SiN, um nur ein Beispiel zu nennen.
  • 2A und 2B zeigen die Herstellung von flachen Grabenisolations-(STI-) Gebieten in der ILD-Schicht 130. In Ausführungsformen werden die Gräben 155 in der ILD-Schicht 130 unter Anwendung konventioneller Lithographie- und Ätztechniken, beispielsweise durch einen RIE-Prozess, hergestellt. Beispielsweise wird eine Lackschicht, die über der ILD-Schicht 130 ausgebildet ist, mit Energie (Licht) bestrahlt, um ein Muster (Öffnungen) zu erzeugen. Es wird ein Ätzprozess mit selektiver Chemie, beispielsweise RIE, eingesetzt, um einen oder mehrere Gräben 155 in der ILD-Schicht 130 durch die Öffnungen des Lacks hindurch zu bilden. Der Lack kann dann durch einen konventionellen Sauerstoffveraschungsprozess oder andere bekannte Ablösemittel entfernt werden.
  • 3A-3D zeigen die Struktur 100 in einer zusätzlichen Querschnittsansicht. Insbesondere zeigt 3A eine Draufsicht der Struktur 100 und 3B und 3C zeigen eine Querschnittsansicht in einer X-Achsenrichtung, und 3D zeigt eine Querschnittsansicht in einer Y-Achsenrichtung. 3D zeigt die Gräben 155, wenn sie mit einem dielektrischen Material 160 gefüllt sind, um STI-Strukturen 165 zu bilden. In Ausführungsformen kann das dielektrische Material 160 aus einem dielektrischen Material mit niedriger Dielektrizitätskonstante hergestellt sein, beispielsweise aus SiOC, neben vielen anderen Beispielen. Die Abscheidung des dielektrischen Materials 160 erfolgt durch einen CVD-Prozess und einen anschließenden CMP-Prozess.
  • 4A-4C zeigt Photolack 170, der über den STI-Strukturen 165 und der ILD-Schicht 130 ausgebildet ist. In Ausführungsformen wird der Photolack 170 mit Energie (Licht) bestrahlt, um ein Muster (Öffnungen) zu bilden. Es wird ein Ätzprozess mit selektiver Chemie, beispielsweise ein RIE-Prozess, eingesetzt, um einen oder mehrere Gräben 175 in der ILD-Schicht 130 durch die Öffnungen des Photolacks 170 hindurch zu bilden, wobei das α-Si-Material 125 freigelegt wird. Insbesondere zeigt 4C das Öffnen eines Bereichs der dielektrischen Abdeckung, d.h., der ILD-Schicht 130, wodurch die Opferschicht, d.h., das α-Si-Material 125, freigelegt wird. Wie in 4A und 4C gezeigt ist, bleiben Bereiche der ILD-Schicht 130 benachbart zu den dielektrischen Material 160 erhalten.
  • 5A-5C zeigen das Entfernen des α-Si-Materials 125, wodurch die Gräben 175' gebildet werden, die die Source- und Drain-(S/D-) Gebiete 115 freilegen. Das α-Si-Material 125 kann durch konventionelle Ätzprozesse, beispielsweise einen nasschemischen Prozess, entfernt werden. In Ausführungsformen kann das Ätzen von α-Si-Material 125 mit oder ohne den Photolack 170 erfolgen. In Ausführungsformen ist der Ätzvorgang für das α-Si-Material 125 nicht gerichtet, sodass Bereiche der ILD-Schicht 130 in der X-Achsenrichtung und der Y-Achsenrichtung zurückbleiben, wie in 5A und 5C gezeigt ist. Der Photolack 170 kann durch einen konventionellen Sauerstoffveraschungsprozess oder andere bekannte Ablösemittel abgelöst werden.
  • 6A-6C zeigen das Füllen der Gräben 175' mit einem Opfermaterial 180. In Ausführungsformen kann das Opfermaterial 180, neben anderen Beispielen, SOH, amorpher Kohlenstoff (alpha-C) oder eine organische Einebnungsschicht (OPL) sein. Das Opfermaterial 180 wird verwendet, um eine ebene Oberfläche für die Abscheidung des Photolacks 170' zu schaffen, der verwendet wird, um das Deckmaterial 145 der Gate-Strukturen 150 (in nachfolgenden Prozessen in der X-Achsenrichtung) zu ätzen. In Ausführungsformen wird der Photolack 170' über den STI-Strukturen 165, der ILD-Schicht 130 und dem Opfermaterial 180 gebildet. Der Photolack 170' wird mit Energie (Licht) bestrahlt, um ein Muster (Öffnungen) zu bilden. Es wird ein Ätzprozess mit selektiver Chemie, beispielsweise RIE, angewendet, um das Deckmaterial 145 durch die Öffnungen des Lackes hindurch zu entfernen, wodurch ein oder mehrere Gräben 185 des Gate-Materials 135 der Gate-Strukturen 150 in der X-Achsenrichtung gebildet werden. Insbesondere zeigt 6A das Freilegen der Gate-Materialien 135 der Gate-Strukturen 150. Der Photolack 170' kann durch einen konventionellen Sauerstoffveraschungsprozess oder andere bekannte Ablösemittel entfernt werden, während das Opfermaterial 180 durch ein selektives Ätzen entfernt werden kann. Die Entfernung des Deckmaterials 145, d.h. der Gate-Abdeckung, dient für die nachfolgende Herstellung von Gate-Kontakten zu den Gate-Strukturen 150.
  • 7A-7D zeigen Source- und Drain- und Gate-Metallisierungsstrukturelemente, neben anderen Strukturelementen, und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Offenbarung. Insbesondere wird eine Silizid-Beschichtung 190 in den Gräben 185 (über den Gate-Strukturen 150 und insbesondere den Gate-Materialien 135) und über den S/D-Gebieten 115 abgeschieden. Insbesondere zeigt 7B die Abscheidung einer Beschichtung 190 auf den freigelegten Gate-Materialien 135 und den freigelegten Source- und Drain-Gebieten 115 vor der Herstellung der Metallisierungsstrukturelemente. Die Beschichtung 190 wird einem Silizidierungsprozess unterzogen. Die Beschichtung 190 kann unter Anwendung physikalischer Dampfabscheide-(PVD-) oder CVD-Prozesse abgeschieden werden. Die Beschichtung 190 kann Ti, TiN, TaN, Ru und Co sein, neben vielen anderen Beispielen. Nach dem Silizidierungsprozess wird ein Metallmaterial 195 auf der Beschichtung 190 abgeschieden, um Source- und Drain-Kontakte 200 und die Gate-Kontakte 205 zu bilden. Auf diese Weise werden die Source- und Drain-Kontakte 200 und Gate-Kontakte 205 gleichzeitig aus dem gleichen Metallmaterial 195 hergestellt.
  • Das Metallmaterial 195 kann durch CVD-Prozesse abgeschieden werden und kann ein beliebiges geeignetes leitendes Material sein. Beispielsweise kann das Metallmaterial 195 Wolfram (W), Kobalt (Co) oder Kupfer (Cu) sein, um Beispiele zu nennen. Auf die Abscheidung des Metallmaterials 195 folgt ein CMP-Prozess. Die Source- und Drain-Kontakte 200 sind mit den S/D-Gebieten 115 verbunden, während die Gate-Kontakte 205 mit den Gate-Strukturen 150 verbunden sind. Auf diese Weise enthalten die Gate-Kontakte 205 der Gate-Strukturen 150 eine Beschichtung 190 und ein Füllmaterial, d.h. das Metallmaterial 195. Ferner liegt die Beschichtung 190 über den S/D-Gebieten 115, den Gate-Materialien 135, den Gate-Kontakten 205 und dem mindestens einen Source- und Drain-Kontakt.
  • Wie in 7A gezeigt, sind die Source- und Drain-Kontakte 200 in Bezug auf die Gate-Kontakte 205 sowohl in der X-Achsenrichtung als auch in der Y-Achsenrichtung versetzt bzw. beabstandet. Auf diese Weise werden die Gate-Kontakte 205, die eine Verbindung zu den Gate-Strukturen 150 herstellen, zu den Source- und Drain-Kontakten 200, die eine Verbindung zu den S/D-Gebieten 115 herstellen, versetzt. Insbesondere sind die Gate-Kontakte 205 der Gate-Strukturen 150 zu den Source- und Drain-Kontakten 200 der S/D-Gebiete 115 in einer X-Achsenrichtung und einer Y-Achsenrichtung versetzt bzw. beabstandet. Insbesondere zeigt 7B die gleichzeitige Herstellung von versetzten Metallisierungsstrukturelementen, d.h., den Kontakten 200, 205 auf den freigelegten Gate-Materialien 135 und den freigelegten S/D-Gebieten 115. Ferner ist, wie in 7B gezeigt ist, der Source- und Drain-Kontakt 200 auf einer Höhe, die sich von derjenigen der Gate-Kontakte 205 unterscheidet. Insbesondere liegt der Source- und Drain-Kontakt 200 auf einer geringeren Höhe als die Gate-Kontakte 205, so dass mindestens ein Source- und Drain-Kontakt 200 auf einer anderen Höhe als die Gate-Kontakte 205. Auf diese Weise sind die Gate-Kontakte 205 der Gate-Strukturen 150 an einer anderen Höhe als die Source- und Drain-Kontakte 200 der S/D-Gebiete 115 angeordnet.
  • In Ausführungsformen ist der Source- und Drain-Kontakt 200 stufenförmig, wobei darüber eine dielektrische Abdeckung liegt, d.h., die ILD-Schicht 130. Insbesondere ist der mindestens eine Source- und Drain-Kontakt 200 stufenförmig. Auf diese Weise ist die Beschichtung 190 direkt mit den S/D-Gebieten 115, beispielsweise dem Silizid der S/D-Gebiet 115, den Seitenwandabstandshaltern 140 der Gate-Strukturen 150 und unter der dielektrischen Abdeckung über dem Source- und Drain-Kontakt 200 in Kontakt. Wie insbesondere in 8B gezeigt ist, bildet die ILD-Schicht 130 die dielektrische Abdeckung über den Source- und Drain-Kontakten 200. Insbesondere beinhaltet die dielektrische Abdeckung ein Oxidmaterial, d.h., das Oxid der ILD-Schicht 130, und die Beschichtung 120.
  • Die hierin beschriebenen Strukturen und Prozesse bieten den Vorteil, dass Kurzschlüsse in Technologien, in denen kleinere Abmessungen verwirklicht werden, verhindert werden. Insbesondere werden Kurzschlüsse verhindert, indem der Source- und Drain-Kontakt 200 und die Gate-Kontakte 205 gleichzeitig mit dem Metallmaterial 195 gebildet werden, aber auf unterschiedlichen Höhen liegen und zueinander versetzt bzw. beabstandet sind.
  • 8A-8D zeigen die Herstellung von Metallisierungsstrukturelementen (beispielsweise von Zwischenverbindungs-Kontaktstrukturen), die sich ausgehend von den Source- und Drain-Kontakten 200 und den Gate-Kontakten 205 erstrecken. Insbesondere wird eine Ätzstoppschicht 210 über der ILD-Schicht 130 und den Gate-Kontakten 205 abgeschieden. In Ausführungsformen wird die Ätzstoppschicht 210 durch einen CVD-Prozess abgeschieden und sie kann aus Nitridmaterial, beispielsweise SiN, aufgebaut sein. Es wird eine ILD-Schicht 215 über der Ätzstoppschicht 210 beispielsweise durch einen CVD-Prozess abgeschieden. In Ausführungsformen kann die ILD-Schicht 215 beispielsweise aus einem Oxidmaterial aufgebaut sein. Nach der Abscheidung der ILD-Schicht 215 wird ein CMP-Prozess ausgeführt.
  • Es können Zwischenverbindungsstrukturen 220, 225, die sich von den Kontakten 200, 205 aus erstrecken, unter Anwendung konventioneller Lithographie- und Ätzprozesse, beispielsweise einem RIE-Prozess, hergestellt werden. Beispielsweise wird ein über der ILD-Schicht 215 gebildeter Lack mit Energie (Licht) bestrahlt, um ein Muster (Öffnungen) zu bilden. Es wird ein Ätzprozess mit selektiver Chemie, beispielsweise eine reaktive Ionenätzung (RIE), ausgeführt, um einen oder mehrere Gräben In der ILD-Schicht 215 durch die Öffnungen des Lacks hindurch zu bilden. Der Lack kann dann durch einen konventionellen Sauerstoffveraschungsprozess oder andere bekannte Ablösemittel entfernt werden.
  • An das Entfernen des Lacks schließt sich die Abscheidung eines leitenden Materials durch konventionelle Abscheideprozesse, beispielsweise CVD-Prozesse, an, um die Zwischenverbindungsstrukturen 220, 225 zu bilden. Restliches leitendes Material auf der Oberfläche der ILD-Schicht 215 kann durch konventionelle CMP-Prozesse entfernt werden. Das zur Herstellung der Zwischenverbindungsstrukturen 220, 225 verwendete leitende Material kann ein beliebiges geeignetes leitendes Material sein, beispielsweise Wolfram (W). In Ausführungsformen sind die Zwischenverbindungsstrukturen 220 direkt in elektrischem Kontakt mit den Source- und Drain-Kontakten 200, während die Zwischenverbindungsstrukturen 225 in direktem elektrischen Kontakt mit den Gate-Kontakten 205 sind. Auf diese Weise sind die Zwischenverbindungsstrukturen 220, 225 in direktem elektrischen Kontakt mit den Kontakten der Gate-Strukturen 150 und den Kontakten der Source- und Drain-Gebiete (S/D) 115. 8B zeigt die Herstellung von versetzten bzw. beabstandeten Kontakten, d.h. den Zwischenverbindungsstrukturen 220, 225, die sich von den Metallisierungsstrukturelementen, d.h., den Kontakten 200, 205, aus erstrecken. Ferner zeigt 8B, dass die mehreren Gate-Strukturen 150 Source- und Drain-Gebiete 115, die Gate-Materialien 135, die Gate-Kontakte 205 und die Zwischenverbindungsstrukturen 225, die sich von den Gate-Kontakten 205 aus erstrecken, umfassen.
  • Es sollte nun klar sein, dass die hierin beschriebenen Prozesse und resultierenden Strukturen dazu dienen, das Gate-Metall der Gate-Struktur während der MOL-Prozesse besser zu schützen. Die resultierenden Strukturen, beispielsweise Seitenwandstrukturen, verhindern somit das Auftreten von Kurzschlüssen bei Zwischenverbindungen oder anderen Verdrahtungsstrukturen der Source/Drain-Gebiete. Daher führen die hierin beschriebenen Prozesse und Strukturen zu einer Erhöhung der Ausbeute.
  • Die zuvor beschriebenen Verfahren werden bei der Herstellung integrierter Schaltungschips eingesetzt. Die resultierenden integrierten Schaltungschips können von dem Hersteller in Form nicht vollständig bearbeiteter Scheiben (d.h., als eine einzige Scheibe, auf der mehrere Chips ohne Gehäuse sind), als ein blanker Chip oder in Form von Chips in Gehäusen vertrieben werden. In dem zuletzt genannten Falle ist der Chip in einem Einzelchip-Gehäuse (etwa einem Kunststoffträger, mit Anschlüssen, die an einer Hauptplatine oder an einem Träger höherer Ebene befestigt sind) oder in einem Mehr-Chip-Gehäuse untergebracht (etwa einem Keramikträger, an welchem ein oder beide Oberflächen Zwischenverbindungen oder vergrabene Verbindungen aufweisen). In jedem Falle wird dann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsbauelementen als Teil (a) eines Zwischenprodukts, etwa einer Hauptplatine, oder (b) als ein Endprodukt verbunden. Das Endprodukt kann ein beliebiges Endprodukt sein, das integrierte Schaltungschips enthält, im Bereich von Spielzeugen oder anderen Anwendungen mit geringen Ansprüchen bis hin zu fortschrittlichen Computerprodukten mit einer Anzeige, einer Tastatur oder anderen Eingabegeräten und einem zentralen Prozessor.
  • Die Beschreibungen der diversen Ausführungsformen der vorliegenden Offenbarung sind nur zum Zwecke der Darstellung angegeben und sollen nicht erschöpfend sein oder eine Beschränkung auf die offenbarten Ausführungsformen darstellen. Es ergeben sich viele Modifizierungen und Varianten für den Fachmann, ohne von dem Bereich und dem Grundgedanken der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde verwendet, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber Techniken, die auf dem Markt angetroffen werden, am besten zu erläutern, oder um andere Fachleute in die Lage zu versetzen, die hierin offenbarten Ausführungsformen zu verstehen.

Claims (21)

  1. Beansprucht ist:
  2. Eine Struktur, mit: mehreren Gate-Strukturen mit Source- und Drain-Gebieten; Kontakten, die mit den Source- und Drain-Gebieten verbunden sind; Kontakten, die mit den Gate-Strukturen verbunden sind und zu den Kontakten, die mit den Source- und Drain-Gebieten verbunden sind, versetzt sind; und Zwischenverbindungsstrukturen, die in elektrischem Kontakt mit den Kontakten der Gate-Strukturen und den Kontakten der Source- und Drain-Gebieten sind.
  3. Die Struktur nach Anspruch 1, wobei die Kontakte der Gate-Strukturen eine Beschichtung und ein Füllmaterial aufweisen.
  4. Die Struktur nach Anspruch 2, wobei die Beschichtung TiN ist.
  5. Die Struktur nach Anspruch 3, wobei die TiN-Beschichtung über den Source- und Drain-Gebieten liegt.
  6. Die Struktur nach Anspruch 4, wobei das Füllmaterial Kobalt oder Wolfram ist.
  7. Die Struktur nach Anspruch 1, wobei die Kontakte der Gate-Strukturen eine andere Höhe als die Kontakte der Source- und Drain-Gebiete haben.
  8. Die Struktur nach Anspruch 1, wobei die Kontakte der Gate-Strukturen zu den Kontakten der Source- und Drain-Gebiete in einer X-Achsenrichtung versetzt sind.
  9. Die Struktur nach Anspruch 1, wobei die Kontakte der Gate-Strukturen zu den Kontakten der Source- und Drain-Gebieten in einer Y-Achsenrichtung versetzt sind.
  10. Die Struktur nach Anspruch 1, die ferner eine dielektrische Abdeckung über den Kontakten der Source- und Drain-Gebiete aufweist.
  11. Die Struktur nach Anspruch 9, wobei die dielektrische Abdeckung ein Oxidmaterial und eine Beschichtung aufweist.
  12. Die Struktur nach Anspruch 10, wobei die Beschichtung aus einem Nitridmaterial aufgebaut ist.
  13. Die Struktur nach Anspruch 1, wobei die Gate-Strukturen Austausch-Gate-Strukturen sind.
  14. Die Struktur nach Anspruch 1, wobei die Gate-Strukturen vertiefte Gate-Strukturen sind.
  15. Eine Struktur, mit: mehreren Gate-Strukturen mit Source- und Drain-Gebieten, Gate-Kontakten und Zwischenverbindungsstrukturen, die sich von den Gate-Kontakten aus erstrecken; mindestens einem Source- und Drain-Kontakt, der eine andere Höhe als die Gate-Kontakte hat; und einer Beschichtung über den Source- und Drain-Gebieten, den Gate-Kontakten und dem mindestens einen Source- und Drain-Kontakt.
  16. Die Struktur nach Anspruch 14, wobei der mindestens eine Source- und Drain-Kontakt zu den Gate-Kontakten in einer X-Achsenrichtung oder einer Y-Achsenrichtung versetzt ist.
  17. Die Struktur nach Anspruch 14, wobei der mindestens eine Source- und Drain-Kontakt stufenförmig ist.
  18. Die Struktur nach Anspruch 16, die ferner eine dielektrische Abdeckung über dem mindestens einen Source- und Drain-Kontakt aufweist.
  19. Die Struktur nach Anspruch 14, wobei die Beschichtung aus einem TiN-Material aufgebaut ist.
  20. Ein Verfahren, mit: Bilden mehrerer Gate-Strukturen, die Source- und Drain-Gebiete und Gate-Materialien aufweisen; Bilden einer dielektrischen Zwischenschicht mit einer Opferschicht und einer dielektrischen Abdeckung über Source- und Drain-Gebieten von Gate-Strukturen; Öffnen eines Bereichs der dielektrischen Abdeckung zum Freilegen der Opferschicht; Entfernen der Opferschicht, um die Source- und Drain-Gebiete freizulegen; Freilegen der Gate-Materialien; gleichzeitiges Bilden von versetzten Metallisierungsstrukturelementen auf den freigelegten Gate-Materialien und den freigelegten Source- und Drain-Gebieten; und Bilden von versetzten Kontakten, die sich von den Metallisierungsstrukturelementen aus erstrecken.
  21. Das Verfahren nach Anspruch 19, das ferner umfasst: Abscheiden einer Beschichtung auf den freigelegten Gate-Materialien und den freigelegten Source- und Drain-Gebieten vor der Bildung der Metallisierungsstrukturelemente.
DE102018208546.3A 2018-02-17 2018-05-30 Strukturen aus dem mittleren bereich der fertigungslinie Pending DE102018208546A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/898,569 US10607893B2 (en) 2018-02-17 2018-02-17 Middle of line structures
US15/898,569 2018-02-17

Publications (1)

Publication Number Publication Date
DE102018208546A1 true DE102018208546A1 (de) 2019-08-22

Family

ID=67482137

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018208546.3A Pending DE102018208546A1 (de) 2018-02-17 2018-05-30 Strukturen aus dem mittleren bereich der fertigungslinie

Country Status (4)

Country Link
US (1) US10607893B2 (de)
CN (1) CN110176453B (de)
DE (1) DE102018208546A1 (de)
TW (1) TWI712142B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019206975B4 (de) 2018-05-15 2022-05-05 Globalfoundries U.S. Inc. FinFET-Vorrichtung und Verfahren zur Herstellung

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US10930555B2 (en) * 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) * 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
KR20210033096A (ko) * 2019-09-17 2021-03-26 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조방법
US11094794B2 (en) * 2019-09-27 2021-08-17 Globalfoundries U.S. Inc. Air spacer structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5924010A (en) * 1996-10-30 1999-07-13 United Microelectronics Corp. Method for simultaneously fabricating salicide and self-aligned barrier
US6429493B1 (en) * 1998-10-20 2002-08-06 Seiko Epson Corporation Semiconductor device and method for manufacturing semiconductor device
DE10258761A1 (de) * 2002-07-02 2004-01-15 Promos Technologies, Inc. Verfahren zur Herstellung eines Kontaktlochs
DE112011101069T5 (de) * 2010-03-26 2013-01-03 Semiconductor Energy Laboratory Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
JP4501965B2 (ja) * 2006-10-16 2010-07-14 ソニー株式会社 半導体装置の製造方法
WO2008137480A2 (en) * 2007-05-01 2008-11-13 Dsm Solutions, Inc. Active area junction isolation structure and junction isolated transistors including igfet, jfet and mos transistors and method for making
DE102008059500B4 (de) * 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Mehr-Gatetransistors mit homogen silizidierten Stegendbereichen
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
CN102456613B (zh) * 2010-10-29 2014-08-20 中国科学院微电子研究所 一种半导体结构及其制造方法
US8404530B2 (en) * 2011-07-07 2013-03-26 International Business Machines Corporation Replacement metal gate with a conductive metal oxynitride layer
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150187945A1 (en) * 2014-01-02 2015-07-02 Globalfoundries Inc. Salicide protection during contact metallization and resulting semiconductor structures
US9318582B2 (en) * 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9312182B2 (en) 2014-06-11 2016-04-12 Globalfoundries Inc. Forming gate and source/drain contact openings by performing a common etch patterning process
US9449963B2 (en) * 2014-07-03 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with hard mask structure formed thereon and method for forming the same
KR20160020870A (ko) * 2014-08-14 2016-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9379209B2 (en) * 2014-11-07 2016-06-28 Globalfoundries Inc. Selectively forming a protective conductive cap on a metal gate electrode
US9443738B2 (en) * 2015-02-06 2016-09-13 Globalfoundries Inc. Integrated circuits with middle of line capacitance reduction in self-aligned contact process flow and fabrication methods
US9780178B2 (en) * 2015-06-05 2017-10-03 Globalfoundries Inc. Methods of forming a gate contact above an active region of a semiconductor device
US9679847B2 (en) * 2015-06-09 2017-06-13 Stmicroelectronics, Inc. Self-aligned bottom up gate contact and top down source-drain contact structure in the premetallization dielectric or interlevel dielectric layer of an integrated circuit
US9691897B2 (en) 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9887289B2 (en) * 2015-12-14 2018-02-06 International Business Machines Corporation Method and structure of improving contact resistance for passive and long channel devices
US9653347B1 (en) * 2016-03-31 2017-05-16 International Business Machines Corporation Vertical air gap subtractive etch back end metal
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5924010A (en) * 1996-10-30 1999-07-13 United Microelectronics Corp. Method for simultaneously fabricating salicide and self-aligned barrier
US6429493B1 (en) * 1998-10-20 2002-08-06 Seiko Epson Corporation Semiconductor device and method for manufacturing semiconductor device
DE10258761A1 (de) * 2002-07-02 2004-01-15 Promos Technologies, Inc. Verfahren zur Herstellung eines Kontaktlochs
DE112011101069T5 (de) * 2010-03-26 2013-01-03 Semiconductor Energy Laboratory Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019206975B4 (de) 2018-05-15 2022-05-05 Globalfoundries U.S. Inc. FinFET-Vorrichtung und Verfahren zur Herstellung

Also Published As

Publication number Publication date
TWI712142B (zh) 2020-12-01
US10607893B2 (en) 2020-03-31
TW201935650A (zh) 2019-09-01
CN110176453A (zh) 2019-08-27
US20190259667A1 (en) 2019-08-22
CN110176453B (zh) 2023-06-30

Similar Documents

Publication Publication Date Title
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE4235534C2 (de) Verfahren zum Isolieren von Feldeffekttransistoren
DE102018218869B4 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102019216082B4 (de) Skalierter gate-kontakt und source/drain-kappe sowie verfahren zu dessen herstellung
DE102015106047B4 (de) Struktur und Verfahren zum Ausbilden eines Halbleiterbauelements mit einem Gatestapel
DE112006003206B4 (de) Verfahren zum Ausbilden einer Halbleiteranordnung
DE102017117937A1 (de) Aufgeteilte Schienenstrukturen, die sich in angrenzenden Metallschichten befinden
DE102005033916A1 (de) Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie
DE102018220751A1 (de) Middle-of-line strukturen
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102018202253B4 (de) Verfahren zum Ätzen einer Tiefgrabenisolationsstruktur mit einem in einem Zwischenebenen-Dielektrikumsmaterial endenden Luftspalt und zugehörige Strukturen
DE102019103422A1 (de) Verwenden von Maskenschichten zum Erleichtern der Herstellung von selbstjustierten Kontakten und Durchkontaktierungen
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102018122614A1 (de) Zellengrenzstruktur für eingebetteten Speicher
DE102016100273A1 (de) Struktur und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur
DE102019123627A1 (de) Finnen-feldeffekttransistorvorrichtung und verfahren zu deren herstellung
DE102015117230B4 (de) Verfahren zum Bilden einer Halbleitervorrichtungsstruktur
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102020100001B4 (de) Integrierter Schaltkreis mit einer Mehrzahl von Speicherprüfstrukturen und Verfahren zu dessen Herstellung sowie Speicherprüfstruktur einer eingebetteten Speichervorrichtung
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102020122500A1 (de) Luft-spacer-strukturen
DE10261404B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication