DE102005022306A1 - Feldeffekttransistoren (FET) und Verfahren zum Herstellen von Feldeffekttransistoren - Google Patents

Feldeffekttransistoren (FET) und Verfahren zum Herstellen von Feldeffekttransistoren Download PDF

Info

Publication number
DE102005022306A1
DE102005022306A1 DE102005022306A DE102005022306A DE102005022306A1 DE 102005022306 A1 DE102005022306 A1 DE 102005022306A1 DE 102005022306 A DE102005022306 A DE 102005022306A DE 102005022306 A DE102005022306 A DE 102005022306A DE 102005022306 A1 DE102005022306 A1 DE 102005022306A1
Authority
DE
Germany
Prior art keywords
gate electrode
finfet
gate
mosfet
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102005022306A
Other languages
English (en)
Other versions
DE102005022306B4 (de
Inventor
Hee-Soo Kang
Dong-Gun Park
Choong-Ho Lee
Hye-Jin Cho
Young-Joon Ahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020040034903A external-priority patent/KR100618827B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102005022306A1 publication Critical patent/DE102005022306A1/de
Application granted granted Critical
Publication of DE102005022306B4 publication Critical patent/DE102005022306B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

Bei einem Aspekt wird ein Halbleitersubstrat mit einem Zellbereich und einem Peripherieschaltungsbereich bereitgestellt, und eine Maskenschicht wird über dem Zellbereich und dem Peripherieschaltungsbereich des Halbleitersubstrats gebildet. Ein FinFET-Gate wird durch Bilden einer ersten Öffnung in der Maskenschicht, um eine erste Gate-Region in dem Zellbereich des Halbleitersubstrats freizulegen, und dann Bilden einer FinFET-Gate-Elektrode in der ersten Öffnung unter Verwendung eines Damaszierungsverfahrens hergestellt. Ein MOSFET-Gate wird durch Bilden einer zweiten Öffnung in der Maskenschicht, um eine zweite Gate-Region in dem Peripherieschaltungsbereich des Halbleitersubtrats freizulegen, und dann Bilden einer MOSFET-Elektrode in der zweiten Öffnung unter Verwendung eines Damaszierungsverfahrens hergestellt.

Description

  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich allgemein auf Halbleitervorrichtungen und Verfahren zum Herstellen von Halbleitervorrichtungen, und die vorliegende Erfindung bezieht sich insbesondere auf Feldeffekttransistoren (FET) und auf Verfahren zum Herstellen von FET.
  • Als Reaktion auf die kontinuierliche Abnahme des Maßstabs von Halbleitervorrichtungen werden zuverlässige Alternativen zu herkömmlichen MOSFET gesucht. Eine solche Alternativ sind FinFET, von denen bekannt ist, dass dieselben einen reduzierten Leckstrom und einen hohen Treibstrom zeigen. Probleme bei der Fertigung haben es jedoch erschwert, FinFET in Speicherchips zu implementieren. Insbesondere ist das Dotieren der Dreifach-Gate-FinFET-Struktur besonders problematisch. Dies liegt zumindest teilweise daran, dass ein Vertikalwinkel-Implantationsdotieren zu einer Verarmung bzw. Entleerung eines Seitenabschnitts des Gates in der FinFET-Struktur führt. Diese und andere Herstellungsprobleme haben den Implementierungen von FinFETbasierten Speichervorrichtungen Grenzen auferlegt.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Gemäß einem Aspekt der vorliegenden Erfindung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung geschaffen, das das Bereitstellen eines Halbleitersubstrats mit einem Zellbereich und einem Peripherieschaltungsbereich, das Bilden einer Maskenschicht über dem Zellbereich und dem Peripherieschaltungsbereich des Halbleitersubstrats, das Bilden eines FinFET-Gates durch Bilden einer ersten Öffnung in der Maskenschicht, um eine erste Gate-Region in dem Zellbereich des Halbleitersubstrats freizulegen, und durch Bilden einer FinFET-Gate-Elektrode in der ersten Öffnung unter Verwendung eines Damaszierungsverfahrens, das Bilden eines MOSFET-Gates durch Bilden einer zweiten Öffnung in der Maskenschicht, um eine zweite Gate-Region in dem Peripherieschaltungsbereich des Halbleitersubstrats freizulegen, und das Bilden einer MOSFET-Gate-Elektrode in der zweiten Öffnung unter Verwendung eines Damaszierungsverfahrens aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung geschaffen, das das Bilden einer FinFET-Gate-Elektrode aus einem ersten Material über einem Zellbereich eines Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens und das Bilden eines MOSFET-Gates aus einem zweiten Material über einem Peripherieschaltungsbereich des Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist eine Halbleitervorrichtung geschaffen, die ein Halbleitersubstrat, einen ersten FET mit einer ersten Leitfähigkeit, der über dem Halbleitersubstrat positioniert ist und eine erste Gate-Elektrode aufweist, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer ersten aktiven Region erstreckt, eine Isolationsschicht, die über dem ersten FET gebildet ist, und einen zweiten FET mit einer zweiten Leitfähigkeit, der über der Isolationsschicht positioniert ist und eine zweite Gate-Elektrode aufweist, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung geschaffen, die das Bilden eines ersten FET mit einer ersten Leitfähigkeit über einem Halbleitersubstrat, wobei der erste FET eine erste Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer ersten aktiven Region erstreckt, aufweist, das Bilden einer Isolationsschicht über dem ersten FET und das Bilden eines zweiten FET mit einer zweiten Leitfähigkeit über der Isolationsschicht und mit einer zweiten Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung geschaffen, das das Bereitstellen eines Halbleitersubstrats mit einem Zellbereich und einem Peripherieschaltungsbereich, das Bilden einer Maskenschicht über dem Zellbereich und dem Peripherieschaltungsbereich des Halbleitersubstrats, das Bilden eines ersten FinFET-Gates durch Bilden einer ersten Öffnung in der Maskenschicht, um eine erste Gate-Region in dem Zellbereich des Halbleiterbereichs freizulegen, und durch Bilden einer FinFET-Gate-Elektrode in der ersten Öffnung unter Verwendung eines Damaszierungsverfahrens, das Bilden eines MOSFET-Gates durch Bilden einer zweiten Öffnung in der Maskenschicht, um eine zweite Gate-Region in dem Peripherieschaltungsbereich des Halbleitersubstrats freizulegen, und durch Bilden einer MOSFET-Gate-Elektrode in der zweiten Öffnung unter Verwendung eines Damaszierungsverfahrens, das Bilden von ersten Source- und Drain-Regionen benachbart zu dem ersten FinFET-Gate, um einen ersten FinFET zu definieren, das Bilden von zweiten Source- und Drain-Regionen benachbart zu dem MOSFET-Gate, um einen MOSFET zu definieren, das Bilden einer Isolationsschicht über dem ersten FinFET und dem MOSFET und das Bilden eines zweiten FinFET mit einem zweiten FinFET-Gate über der Isolationsschicht und über den ersten FinFET gestapelt aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist ein Verfahren zum Herstellen einer Halbleitervorrichtung geschaffen, das das Bilden einer ersten FinFET-Gate-Elektrode eines ersten Materials über einem Zellbereich eines Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens, das Bilden einer MOSFET-Gate-Elektrode aus einem zweiten Material über einem Peripherieschaltungsbereich des Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens und das Bilden einer zweiten FinFET-Gate-Elektrode aus einem dritten Material, die über die erste FinFET-Gate-Elektrode gestapelt ist, wobei eine Isolationsschicht dazwischen positioniert ist, aufweist.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung ist eine Halbleitervorrichtung geschaffen, die ein Halbleitersubstrat mit einem Zellbereich und einem Peripherieschaltungsbereich, einen ersten FET mit einer ersten Leitfähigkeit, der in dem Zellbereich über dem Halbleitersubstrat positioniert ist und eine erste Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer ersten aktiven Region erstreckt, einen MOSFET, der über dem Peripherieschaltungsbereich positioniert ist und eine zweite Gate-Elektrode aufweist, eine Isolationsschicht, die über dem ersten FET und dem MOSFET gebildet ist, und einen zweiten FET mit einer zweiten Leitfähigkeit, der über der Isolationsschicht positioniert ist und über den ersten FET gestapelt ist, aufweist, wobei der zweite FET eine zweite Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorhergehenden und andere Aspekte und Merkmale der vorliegenden Erfindung sind aus der folgenden detaillierten Beschreibung unter Bezugnahme auf die beigefügten Zeichnungen ohne weiteres offensichtlich, in denen:
  • 1 einen Draufsichtentwurf einer Halbleitervorrichtung gemäß Ausführungsbeispielen der vorliegenden Erfindung darstellt;
  • 2A bis 10B Zellbereichs- und Peripherieschaltungsbereichs-Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen einer Halbleitervorrichtung von 1 sind, wobei 2A, 3A, 4A, 5A, 6A, 7A, 8A, 9A und 10A Querschnittsansichten entlang der Reihen- (X-) Richtung (Linie a-a') von 1 sind, und 2B, 3B, 4B, 5B, 6B, 7B, 8B, 9B und 10B Querschnittsansichten entlang der Spalten- (Y-) Richtung (Linie b-b') von 1 sind;
  • 11 bis 19 Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einem weiteren Ausführungsbeispiel der vorliegenden Erfindung sind, wobei der linke Abschnitt von jeder der 11 bis 19 eine Querschnittsansicht entlang der Reihen- (Y-) Richtung (Linie a-a') des in 1 gezeigten Zellbereichs ist, und wobei der rechte Abschnitt von jeder der 11 bis 19 eine Querschnittsansicht entlang der Spalten- (Y-) Richtung (Linie b-b') des Zellbereichs von 1 ist; und
  • 20A bis 32B Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einem weiteren Ausführungsbeispiel der vorliegenden Erfindung sind, wobei der linke Abschnitt von jeder der 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, 29A, 30A, 31A und 32A eine Querschnittsansicht entlang der Reihen- (X-) Richtung (Linie a-a') des Zellbereichs von 1 ist, wobei der rechte Abschnitt von jeder der 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, 29A, 30A, 31A und 32A eine Querschnittsansicht entlang der Reihen- (X-) Richtung (Linie a-a') des Peripherieschaltungsbereichs von 1 ist, wobei der linke Abschnitt von jeder der 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B, 31B und 32B eine Querschnittsansicht entlang der Spalten- (Y-) Richtung (Linie b-b') des Zellbereichs von 1 ist, und wobei der rechte Abschnitt von jeder der 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B, 31B und 32B eine Querschnittsansicht entlang der Spalten- (Y-) Richtung (Linie b-b') des Peripherieschaltungsbereichs von 1 ist.
  • DETAILLIERTE BESCHREIBUNG VON BEVORZUGTEN AUSFÜHRUNGSBEISPIELEN
  • Die vorliegende Erfindung ist im Folgenden unter Bezugnahme auf die beigefügten Zeichnungen vollständiger beschrieben, in denen exemplarische Ausführungsbeispiele der Erfindung gezeigt sind. Die Erfindung kann jedoch in vielen unterschiedlichen Formen ausgeführt sein und sollte nicht als auf die hierin dargelegten Ausführungsbeispiele begrenzt aufgefasst werden; diese Ausführungsbeispiele sind vielmehr vorgesehen, derart, dass diese Offenbarung gründlich und vollständig ist und Fachleuten vollständig die Konzepte der Erfindung vermittelt. In den Zeichnungen bezeichnen gleiche Bezugsziffern gleiche Elemente.
  • 1 stellt einen Draufsichtentwurf einer Halbleitervorrichtung gemäß Ausführungsbeispielen der vorliegenden Erfindung dar. Die Halbleitervorrichtung weist einen Zellbereich und einen Peripherieschaltungsbereich, wie gezeigt, auf. Ebenfalls zum Zweck der Erklärung erstreckt sich eine Reihen- (X-) Richtung von Seite zu Seite in der Figur, und eine Spalten- (Y-) Richtung erstreckt sich von oben nach unten in der Figur.
  • Die Halbleitervorrichtung dieses Beispiels weist einen FinFET in dem Zellbereich und einen MOSFET in dem Peripherieschaltungsbereich auf. D. h., dass sich unter Bezugnahme auf 1 eine aktive Region 35 der Länge nach bzw. längs in der Reihen- (X-) Richtung in den Zell- und Peripherieschaltungsbereichen erstreckt und durch eine Isolationsschicht 30 definiert ist. Eine FinFET-Gate-Elektrode 65a erstreckt sich längs in der Spalten- (Y-) Richtung über die Isolationsschicht 30 und die aktive Region 35 in dem Zellbereich. FinFET-Source/Drain-Regionen (nicht gezeigt) sind in der aktiven Region 35 an gegenüberliegenden Seiten der FinFET-Gate-Elektrode 65a gebildet. Eine MOSFET-Gate-Elektrode 85a erstreckt sich längs in der Spalten- (Y-) Richtung über die Isolationsschicht 30 und die aktive Region 35 in dem Peripherieschaltungsbereich. MOSFET-Source/Drain-Regionen (nicht gezeigt) sind in der aktiven Region 35 benachbart zu gegenüberliegenden Seiten der MOSFET-Gate-Elektrode 85a gebildet.
  • 2A bis 10B sind Zellbereichs- und Peripherieschaltungsbereichs-Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen der Halbleitervorrichtung von 1. 2A, 3A, 4A, 5A, 6A, 7A, 8A, 9A und 10A sind Querschnittsansichten entlang der Reihen- (X-) Richtung (Line a-a') von 1, und 2B, 3B, 4B, 5B, 6B, 7B, 8B, 9B und 10B sind Querschnittsansichten entlang der Spalten- (Y-) Richtung (Linie b-b') von 1.
  • Bezug nehmend auf 2A und 2B wird die Isolationsschicht 30 in einem Halbleitersubstrat 10 beispielsweise in einem Bulk-Siliziumsubstrat bzw. einem massiven Siliziumsubstrat gebildet. Die aktive Region 35 ist durch einen Abschnitt des Oberflächenbereichs des Substrats 10 definiert, der nicht die Isolationsschicht 30 aufweist.
  • Der Isolationsbereich 30 kann beispielsweise als eine Graben-Isolationsschicht gebildet werden. In diesem Fall sind ein Abschnitt des Halbleitersubstrats 10 geätzt, wodurch ein Graben 15 gebildet wird. Eine Trockenätzung unter Verwendung eines Halogengases, wie z. B. HBr oder Cl2, und Sauerstoff kann verwendet werden, um das Halbleitersubstrat 10 zu ätzen. Eine Isolationsschicht-Zwischenlage 20 wird als Nächstes wahlweise an einer inneren Wand des Grabens 15 gebildet. Die Zwischenlage 20 kann beispielsweise durch eine thermische Oxidation einer Siliziumoxidschicht gebildet werden. Die Zwischenlage 20 kann alternativ eine Siliziumnitridschicht oder ein Stapel aus Siliziumoxid und Siliziumnitridschichten sein. Die Zwischenlage 20 dient dazu, jede Beschädigung, die während des Ätzens des Halbleitersubstrats 10, um den Graben 15 zu bilden, aufgetreten sein kann, zu kompensieren und um Spannungen zwischen dem Graben 15 und einer Oxidschicht, die verwendet wird, um den Graben 15 zu füllen, zu minimieren. Als Nächstes wird eine Lückenfülloxidschicht 25 beispielsweise durch eine Hochdichte-Plasma-Chemo-Dampfabscheidung (HDP-CVD; HDP-CVD = High Density Plasma-Chemical Vapor Deposition) abgeschieden, um den Graben 15 zu füllen. Der Abschnitt der Lückenfülloxidschicht 25 und die Isolationsschicht-Zwischenlage 20, die an einer obersten Oberfläche des Halbleitersubstrats 10 gebildet sind, werden anschließend entfernt, um dadurch die Isolationsschicht 30 in dem Halbleitersubstrat 10 zu definieren.
  • Bezug nehmend auf 3A und 3B wird eine Maskenschicht 40 an dem Halbleitersubstrat 10 gebildet. Bei diesem Beispiel wird die Maskenschicht 50 aus einem Stapel einer Maskenoxidschicht 40 und einer Maskennitridschicht 45 gebildet. Die Maskenoxidschicht 40 kann durch eine thermische Oxidation gebildet werden, und die Maskennitridschicht 45 kann durch eine Niederdruck-CVD (LPCVD; LPCVD = Low-Pressure CVD) gebildet werden. Eine Dicke der Maskenschicht 50 hängt von der gewünschten Höhe einer später gebildeten FinFET-Gate-Elektrode ab.
  • Die Maskenschicht 50 in dem Zellbereich wird als Nächstes geätzt, um eine FinFET-Gate-Bildungsregion 55 zu definieren. Die Maskenschicht 50 in dem Peripherieschaltungsbereich wird nicht geätzt. Der freigelegte Abschnitt der Isolationsschicht 30 in der FinFET-Gate-Bildungsregion 55 wird dann zu einer vorbestimmten Dicke geätzt, derart, dass die oberste Oberfläche der aktiven Region 55 höher als die oberste Oberfläche der geätzten Isolationsschicht 30' ist. Auf diese Art und Weise wird eine Rippe bzw. Finne (Fin) 35' gebildet. Eine Trockenätzung oder eine Nassätzung kann verwendet werden, um die Isolationsschicht-Zwischenlage 20 und die Lückenfüll-Oxidschicht 25 der Isolationsschicht 30 zu ätzen.
  • Bezug nehmend auf 4A und 4B wird eine FinFET-Gate-Oxidschicht 60 an einer Oberfläche des Halbleitersubstrats 10 innerhalb der freigelegten FinFET-Gate-Bildungsregion 55 (3A) gebildet. D. h., die FinFET-Gate-Oxidschicht 60 wird an der Oberfläche der Rippe 35' (3B) gebildet. Die FinFET-Gate-Oxidschicht 60 kann beispielsweise durch eine thermische Oxidation gebildet werden, um eine Siliziumoxidschicht aufzuwachsen. Als Nächstes wird eine leitfähige FinFET-Gate-Schicht 65 an einer Oberfläche der Maskenschicht 50 gebildet, um dadurch die FinFET-Gate-Bildungsregion 55 (3A) zu füllen. Die leitfähige FinFET-Gate-Schicht 65 kann beispielsweise durch Abscheiden einer undotierten Polysiliziumschicht und dann Dotieren der undotierten Polysiliziumschicht, um eine leitfähige Schicht zu erhalten, gebildet werden. Der Stufenunterschied, der durch die Rippe 35' verursacht wird, kann es jedoch erschweren, gleichmäßige Dotierungscharakteristika zu erreichen. Dementsprechend ist es vorzuziehen, die leitfähige FinFET-Gate-Schicht 65 aus einer in situ dotierten Polysiliziumschicht, wie z. B. einer in situ n+-dotierten Polysiliziumschicht zu bilden. Alternativ kann als ein weiteres Beispiel die leitfähige FinFET-Gate-Schicht 65 aus SiGe gebildet werden.
  • Bezug nehmend auf 5A und 5B wird die leitfähige FinFET-Gate-Schicht 65 planarisiert, bis eine oberste Oberfläche der Maskenschicht 50 freigelegt ist. Auf diese Art und Weise wird eine FinFET-Gate-Elektrode 65a in einem Damaszierungsmuster bzw. einer Damaszierungsstruktur innerhalb der FinFET-Gate-Bildungsregion 55 (3A) gebildet. Als solches wird die FinFET-Gate-Elektrode 65a mit einer flachen obersten Oberfläche an einer Oberfläche der FinFET-Gate-Oxidschicht 60 gebildet, um die Rippe 35' zu umgeben. Ein chemisch-mechanisches Polieren (CMP) kann verwendet werden, um die leitfähige FinFET-Gate-Schicht 65 zu planarisieren.
  • Es sei hier bemerkt, dass herkömmliche Verfahren zum Bilden eines FinFET auf Probleme stoßen, die sich auf den Stufenunterschied in der leitfähigen Gate-Schicht, der aus der Anwesenheit der Rippe resultiert, beziehen. Die Freilegung und das Ätzen der leitfähigen Gate-Schicht, um die Gate-Elektrode zu bilden, ist besonders problematisch. Dies liegt daran, dass die Gate-Oxidschicht als eine Endätzstoppschicht verwendet wird, wenn die Gate-Elektrode durch Ätzen der leitfähigen Gate-Schicht gebildet wird. Aufgrund des Stufenunterschieds in der leitfähigen Gate-Schicht, der durch die Rippe verursacht wird, wird eine absolute Ätzmenge der leitfähigen Gate-Schicht vergrößert. Dies vergrößert seinerseits wesentlich die Wahrscheinlichkeit, dass ein Rest der leitfähigen Gate-Schicht um die Rippe unerwünscht einen Abstandshalter bzw. Spacer bilden. Wenn jedoch ein Damaszierungs-Gate-Verfahren, wie bei dem Ausführungsbeispiel der vorliegenden Erfindung, verwendet wird, können die Schwierigkeiten beim Ätzen der leitfähigen Gate-Schicht überwunden werden.
  • Bezug nehmend nun auf 6A und 6B wird eine Schutzschicht 70 wahlweise an einer gesamten Oberfläche des Halbleitersubstrats 10 gebildet, um die FinFET-Gate-Elektrode 65a bei anschließenden Verfahren zu schützen. Die Schutzschicht kann beispielsweise eine Oxidschicht, die durch eine HDP-CVD abgeschieden wird, sein und kann beispielsweise aus einer PEOX-Schicht oder aus einer PE-TEOS- (Tetra-Ethyl-Ortho-Silicat-) Oxidschicht gebildet werden. Um eine PEOX-Schicht zu bilden, wird eine Reaktion zwischen SiH4 und O2 (oder N2O) verwendet, und um ein PE-TEOS zu bilden, wird eine Reaktion zwischen Si(OC2H5)4 und O2 verwendet. Eine Bildung der Schutzschicht 70 ist jedoch nicht auf diese Beispiele begrenzt, und die Schutzschicht 70 kann unter Verwendung einer CVD, einer LPCVD oder von Aufschleuder-Abscheidungs- (SOD-; SOD = Spin-on-Deposition) Verfahren gebildet werden.
  • Bezug nehmend auf 7A und 7B werden die Schutzschicht 70 und die Maskenschicht 50 in dem Peripherieschaltungsbereich geätzt, um eine MOSFET-Gate-Bildungsregion 75 zu definieren. Der Zellbereich verbleibt mit der Schutzschicht 70 bedeckt. Eine MOSFET-Gate-Oxidschicht 80 wird danach an der Oberfläche des Halbleitersubstrats 10 innerhalb der MOSFET-Gate-Bildungsregion 75 gebildet. Die MOSFET-Gate-Oxidschicht 80 kann beispielsweise durch eine thermische Oxidation gebildet werden, um eine Siliziumoxidschicht aufzuwachsen.
  • Bezug nehmend auf 8A und 8B wird eine leitfähige MOSFET-Gate-Schicht 85 an einer Oberfläche der Schutzschicht 70 gebildet, wodurch die MOSFET-Gate-Bildungsregion 75 (7A) gefüllt wird. Die leitfähige MOSFET-Gate-Schicht 85 muss nicht auf die gleiche Art und Weise und aus einem gleichen Material wie die leitfähige FinFET-Gate-Schicht 65 gebildet werden. Bei diesem Beispiel wird die leitfähige MOSFET-Gate-Schicht 85 durch Abscheiden einer undotierten Polysiliziumschicht und dann Implantieren von Ionen, um eine Leitfähigkeit der Schicht zu erreichen, gebildet. Andere Verfahren können jedoch eingeführt werden, um die leitfähige MOSFET-Gate-Schicht 85 zu bilden.
  • Bezug nehmend auf 9A und 9B wird die leitfähige MOSFET-Gate-Schicht 85 planarisiert, bis die oberste Oberfläche der Maskenschicht 50 freigelegt ist. Auf diese Art und Weise wird die Schutzschicht 70 entfernt, und eine MOSFET-Gate-Elektrode 85 wird in ein Damaszierungsmuster innerhalb der MOSFET-Gate-Bildungsregion 75 (7A) gebildet. Ein chemisch-mechanisches Polieren (CMP) kann verwendet werden, um die leitfähige MOSFET-Gate-Schicht 85 zu planarisieren.
  • Bezug nehmend auf 10A und 10B wird die Maskenschicht 50 entfernt. In-Phosphorsäure-Strippen bzw. -Abziehen kann beispielsweise verwendet werden, um die Nitridschicht 45 der Maskenschicht 50 zu entfernen, und eine Nassätzung mit einer verdünnten HF-Lösung oder einer BOE-Lösung kann verwendet werden, um die Oxidschicht 40 der Maskenschicht 50 zu entfernen. Die Oxidschicht 40 der Maske 50 kann wahlweise nicht entfernt werden, um die Oberfläche des Halbleitersubstrats 10 zu schützen.
  • Nachdem die Maskenschicht 50 entfernt ist, wird ein Ionenimplantationsverfahren durchgeführt, um Source/Drain-Regionen zu bilden und um wahlweise ein Gate-Dotieren zu erreichen. D. h., wenn die FinFET-Gate-Elektrode 65a aus einer in situ dotierten Polysiliziumschicht gebildet wird, werden FinFET-Source/Drain-Regionen 90 an gegenüberliegenden Seiten der FinFET-Gate-Elektrode 65a ohne ein Dotieren des FinFET-Gates gebildet. Wenn lediglich n-FinFET gebildet werden, wird die FinFET-Gate-Elektrode 65a aus einer in situ n+-dotierten Polysiliziumschicht gebildet, und die n-FinFET-Source- und -Drain-Regionen werden gebildet. Wenn jedoch n- und p-FinFET gebildet werden, wird ein Dotieren unter Verwendung von geeigneten n- und p-Dotierstoffen und Ionenimplantationsmasken durchgeführt. P-, As- oder Sb-Ionen werden beispielsweise implantiert, um n-FinFET-Source/Drain-Regionen zu bilden. B-, In- oder Ga-Ionen werden ferner beispielsweise implantiert, um ein p-FinFET-Gate-Dotieren durchzuführen und um p-FinFET-Source/Drain-Regionen zu bilden. In diesem Fall kann, um eine Zunahme der Schwellenspannung des p-FinFET zu vermeiden, ein Gegendotieren bei dem Kanal des p-FinFET durchgeführt werden.
  • Das Gate-Dotieren wird in der Zwischenzeit hinsichtlich der leitfähigen MOSFET-Gate-Schicht 85 unter Verwendung einer geeigneten n- oder p-Ionenimplantationsmaske durchgeführt. Danach werden MOSFET-Source/Drain-Regionen 95 an gegenüberliegenden Seiten der leitfähigen MOSFET-Gate-Schicht 85 gebildet.
  • Obwohl nicht gezeigt, können die FinFET-Source/Drain-Regionen 90 und die MOSFET-Source/Drain-Regionen 95 aus einer leicht dotierten Drain- (LDD-; LDD = Lightly Doped Drain) Typ-Struktur gebildet werden. In diesem Fall werden Abstandshalter an Seitenwänden der FinFET-Gate-Elektrode 65a und der MOSFET-Gate-Elektrode 85a zwischen einer Hochdichte-Ionenimplantation (etwa E15/cm2) und einer Niederdichte-Ionenimplantation (etwa E12/cm2-E13/cm2) gebildet.
  • 11 bis 19 sind Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einem weiteren Ausführungsbeispiel der vorliegenden Erfindung. Die Halbleitervorrichtung dieses Ausführungsbeispiels ist zumindest teilweise durch eine Mehrzahl von vertikal gestapelten FET, beispielsweise FinFET, unterschiedlicher Leitfähigkeitstypen charakterisiert. Ein erster FinFET eines ersten Leitfähigkeitstyps mit einem in dem Zellbereich von 1 gezeigten Entwurf ist an einer Oberfläche eines Halbleitersubstrats positioniert, und ein zweiter FinFET eines zweiten Leitfähigkeitstyps ist oberhalb des ersten FinFET positioniert. Bei dem folgenden Beispiel ist der erste Leitfähigkeitstyp ein n-Typ, und der zweite Leitfähigkeitstyp ist ein p-Typ. Die Erfindung ist jedoch nicht so begrenzt, und der erste und der zweite Leitfähigkeitstyp können umgekehrt sein.
  • Der linke Abschnitt von jeder der 11 bis 19 ist eine Querschnittsansicht entlang der Reihen- (X-) Richtung (Linie a-a') des in 1 gezeigten Zellbereichs. Der rechte Abschnitt von jeder der 11 bis 19 ist eine Querschnittsansicht entlang der Spalten- (Y-) Richtung (Linie b-b') des Zellbereichs von 1. Der Peripherieschaltungsbereich kann beispielsweise einen MOSFET, wie z. B. derselbe, der bei dem vorhergehenden Ausführungsbeispiel beschrieben ist, aufweisen. Eine solche Konfiguration ist im Folgenden in Verbindung mit einem anschließenden Ausführungsbeispiel beschrieben. Als ein weiteres Beispiel kann alternativ der Peripherieschaltungsbereich vertikal gestapelte FinFET ähnlich zu denselben, die der Zellbereich des vorliegenden Ausführungsbeispiels aufweist, aufweisen.
  • Das vorliegende Ausführungsbeispiel ist auf jeden Typ von Halbleitervorrichtung, der einen FinFET aufweist, anwendbar. Dieses Ausführungsbeispiel ist jedoch insbesondere für eine Implementation bei einer Technologie von statischen Direktzugriffsspeichern (SRAM; SRAM = Static Random Access Memory) geeignet. Bei einem SRAM bilden zwei NMOS-Vorrichtungen und zwei PMOS-Vorrichtungen eine Speicherzelle, und zwei zusätzliche NMOS-Vorrichtungen werden als ein Pass- bzw. ein Durchlass-Gate-Transistor zum Steuern der Verbindung zwischen der Speicherzelle und einer Bitleitung verwendet. Bei dem Beispiel des vorliegenden Ausführungsbeispiels können die NMOS- und PMOS-Vorrichtungen des SRAM durch n- bzw. p-FinFET ersetzt werden. Bei diesem Beispiel können ferner die n-FinFET, die für den Durchlass-Gate-Transistor und die Speicherzelle verwendet werden, in einer unteren Schicht gebildet werden, und dann können die p-FinFET, die für die Speicherzelle verwendet werden, oberhalb der n-FinFET gebildet werden. Es sei jedoch bemerkt, dass die p-FinFET stattdessen in der unteren Schicht gebildet werden können, und dass die n-FinFET oberhalb der p-FinFET gebildet werden können.
  • Bezug nehmend nun auf 11 wird eine Isolationsschicht 130 in einem Halbleitersubstrat 110, beispielsweise in einem massiven Siliziumsubstrat, gebildet. Eine erste aktive Region 135 wird definiert, in der die Isolationsschicht 130 nicht in dem Halbleitersubstrat 110 gebildet wird. Die Isolationsschicht 130 kann beispielsweise auf die gleiche Art und Weise, wie es im Vorhergehenden in Verbindung mit dem vorhergehenden Ausführungsbeispiel beschrieben ist, gebildet werden. In diesem Fall wird ein Abschnitt des Halbleitersubstrats 110 geätzt, wodurch ein Graben 115 gebildet wird. Als Nächstes wird eine Isolationsschicht-Zwischenlage 120 an einer inneren Wand des Grabens 115 gebildet, und danach wird eine Lückenfüll-Oxidschicht 125 gebildet, um den Graben 115 zu füllen. Die Lückenfüll-Oxidschicht 125 und die Isolationsschicht-Zwischenlage 120 werden anschließend planarisiert, wodurch die Isolationsschicht 130 gebildet wird, die in der Oberfläche des Halbleitersubstrats 110 vergraben ist.
  • Wie in 12 gezeigt ist, wird als Nächstes eine Maskenschicht 150 dieses Beispiels an dem Halbleitersubstrat 110 gebildet. Die Maskenschicht 150 wird als ein Stapel einer Maskenoxidschicht 140 und einer Maskennitridschicht 145 gebildet. Die Maskenschicht 150 wird als Nächstes geätzt, um eine erste Gate-Bildungsregion 155 (für einen FinFET mit einem ersten Leitfähigkeitstyp) zu öffnen. Die Isolationsschicht-Zwischenlage 120 und die Lückenfüll-Oxidschicht 125 der Isolationsschicht 130 werden dann durch die Maske geätzt, bis die erste aktive Region 135 höher als die geätzte Isolationsschicht 130' ist. Auf diese Art und Weise wird eine Rippe 135' gebildet.
  • Bezug nehmend nun auf 13 wird eine erste Gate-Oxidschicht 160 (für den FinFET mit dem ersten Leitfähigkeitstyp) an einer Oberfläche der Rippe 135' (12) des Halbleitersubstrats 110 innerhalb der freigelegten ersten Gate-Bildungsregion 155 (12) gebildet. Die erste Gate-Oxidschicht 160 kann beispielsweise eine Siliziumoxidschicht sein, die durch eine thermische Oxidation aufgewachsen wird. Als Nächstes wird eine erste leitfähige Gate-Schicht 165 (für den FinFET mit dem ersten Leitfähigkeitstyp) an einer Oberfläche der Maskenschicht 150 gebildet, um die erste Gate-Bildungsregion 155 (12) zu füllen. Die erste leitfähige Gate-Schicht 165 kann beispielsweise aus einer in situ n+-dotierten Polysiliziumschicht gebildet werden.
  • Bezug nehmend auf 14 wird die erste leitfähige Gate-Schicht 165 beispielsweise durch ein CMP planarisiert, bis eine oberste Oberfläche der Maskenschicht 150 freigelegt ist. Auf diese Art und Weise wird eine erste Gate-Elektrode 165a (für den FinFET mit dem ersten Leitfähigkeitstyp) innerhalb der ersten Gate-Bildungsregion 155 (12) in ein Damaszierungsmuster vorteilhaft gebildet.
  • Das vorliegende Ausführungsbeispiel ist jedoch nicht auf die Bildung der ersten Gate-Elektrode 165a in ein Damaszierungsmuster begrenzt. Die erste Gate-Elektrode kann beispielsweise stattdessen gemäß einem herkömmlichen Verfahren zum Bilden einer Rippe, zum Bilden einer leitfähigen Gate-Schicht und dann Mustern der leitfähigen Gate-Schicht gebildet werden.
  • Bezug nehmend als Nächstes auf 15 wird die Maskenschicht 150 entfernt, und eine Ionenimplantation wird durchgeführt, um wahlweise eine Gate-Dotieren zu erreichen und um Source/Drain-Regionen zu bilden. Wenn beispielsweise die erste Gate-Elektrode 165a aus einer in situ n+-dotierten Polysiliziumschicht gebildet wird, werden die Source/Drain-Regionen 170 in dem Zellbereich ohne ein FinFET-Gate-Dotieren gebildet. Die ersten Source/Drain-Regionen 170 können ferner aus einer LDD-Struktur gebildet werden. In diesem Fall werden zusätzliche Verfahren zum Bilden von Abstandshaltern an den Seitenwänden der ersten Gate-Elektrode 165a und zum Ausführen einer zusätzlichen Ionenimplantation benötigt.
  • Bezug nehmend auf 16 wird eine Zwischenschicht-Isolationsschicht 175, z. B. eine HDP-CVD-Oxidschicht, an einer gesamten Oberfläche des Halbleitersubstrats 110 gebildet. Ein Durchgangsloch 180 wird als Nächstes in der Zwischenschicht-Isolationsschicht 175 gebildet, um eine der ersten Source/Drain-Regionen 170 freizulegen.
  • Bezug nehmend als Nächstes auf 17 wird dann ein selektives epitaktisches Aufwachsen (SEG; SEG = Selective Epitaxial Growth) von Silizium von dem Oberflächenabschnitt der Source/Drain-Region 170, der durch das Durchgangsloch 180 freigelegt ist, durchgeführt. Auf diese Art und Weise wird eine Siliziumschicht gebildet, die das Durchgangsloch 180 füllt und sich über die Zwischenschicht-Isolationsschicht 175 erstreckt. Die Siliziumschicht wird dann strukturiert bzw. gemustert, um eine zweite aktive Region 185 mit einer rippenförmigen Konfiguration zu definieren. Die zweite aktive Region 185 dient zum Bilden eines FinFET mit einem zweiten Leitfähigkeitstyp.
  • D. h., Bezug nehmend auf 18, dass eine zweite Gate-Oxidschicht 190 (für den FinFET mit dem zweiten Leitfähigkeitstyp) an der zweiten aktiven Region 185 gebildet wird. Die zweite Oxidschicht 190 kann beispielsweise eine Siliziumoxidschicht sein, die durch eine thermische Oxidation aufgewachsen wird. Eine zweite leitfähige Gate-Schicht 195 (für den FinFET mit dem zweiten Leitfähigkeitstyp) wird als Nächstes gebildet. Ein Material der zweiten leitfähigen Gate-Schicht 195 kann sich von demselben der ersten leitfähigen Gate-Schicht 165 unterscheiden. Die zweite leitfähige Gate-Schicht 195 kann beispielsweise aus einem in situ p+-dotierten Silizium gebildet werden. Es ist jedoch vorzuziehen, die zweite leitfähige Gate-Schicht 195 durch Bilden einer undotierten Polysiliziumschicht und dann Dotieren der undotierten Polysiliziumschicht bei einem anschließenden Verfahren zu bilden.
  • Bezug nehmend auf 19 wird die zweite leitfähige Gate-Schicht 195 gemustert, um eine zweite Gate-Elektrode 195a zu bilden. Ein Gate-Dotieren wird anschließend wahlweise durchgeführt, und zweite Source/Drain-Regionen 200 (für den FinFET mit dem zweiten Leitfähigkeitstyp) werden an gegenüberliegenden Seiten der zweiten Gate-Elektrode 195a implantiert. Wenn beispielsweise die zweite Gate-Elektrode 195a aus einer in situ p+-dotierten Polysiliziumschicht gebildet wird, werden die zweiten Source/Drain-Regionen 200 in der zweiten aktiven Region 185 ohne ein Durchführen eines FinFET-Gate-Dotierens gebildet. Wenn ferner die zweite Gate-Elektrode 195a aus einer undotierten Polysiliziumschicht gebildet wird, können die zweiten Source/Drain-Regionen 200 aus einer LDD-Struktur gebildet werden. In diesem Fall werden zusätzliche Verfahren zum Bilden von Abstandshaltern an Seitenwänden der zweiten Gate-Elektrode 195a und zum Ausführen einer zusätzlichen Ionenimplantation benötigt.
  • Bei den in Verbindung mit dem Ausführungsbeispiel von 11 bis 19 dargestellten Beispiel wird das Gate des FinFET mit dem ersten Leitfähigkeitstyp unter Verwendung eines Damaszierungsverfahrens gebildet, und das Gate des FinFET mit dem zweiten Leitfähigkeitstyp wird unter Verwendung eines Musterungsverfahrens durchgeführt. Das Ausführungsbeispiel ist jedoch nicht durch die Art und Weise begrenzt, mit der die Gates hergestellt werden. Das Gate des FinFET mit dem ersten Leitfähigkeitstyp kann stattdessen beispielsweise durch Mustern gebildet werden, und das Gate des FinFET mit dem zweiten Leitfähigkeitstyp kann stattdessen unter Verwendung des Damaszierungsverfahrens gebildet werden.
  • Die Halbleitervorrichtung des Ausführungsbeispiels von 19 weist einen FinFET mit einem ersten Leitfähigkeitstyp und einen FinFET mit einem zweiten Leitfähigkeitstyp, der über den ersten FinFET gestapelt ist, auf. Die gestapelten FinFET sind in dem Zellbereich des Halbleitersubstrats 110 gebildet. Der FinFET mit dem ersten Leitfähigkeitstyp weist die erste Gate-Oxidschicht 160, die erste Gate-Elektrode 165a und die ersten Source/Drain-Regionen 170, die alle in der ersten aktiven Region 135 gebildet sind, auf. Die Zwischenschicht-Isolationsschicht 175 wird an dem FinFET mit dem ersten Leitfähigkeitstyp gebildet. Die zweite aktive Region 185 dringt in die Zwischenschicht-Isolationsschicht 175 ein und ist mit einer der ersten Source/Drain-Regionen 170 verbunden und erstreckt sich über die Zwischenschicht-Isolationsschicht 175. Der FinFET mit dem zweiten Leitfähigkeitstyp weist die zweite Gate-Oxidschicht 190, die zweite Gate-Elektrode 195a und die zweiten Source/Drain-Regionen 200, die alle bei der zweiten aktiven Region 185 gebildet sind, auf.
  • Wie im Vorhergehenden erwähnt ist, wird bei dem Beispiel dieses Ausführungsbeispiels der FinFET mit dem ersten Leitfähigkeitstyp unter Verwendung eines Damaszierungsverfahrens gebildet. Als solches ist die Oberfläche der Isolationsschicht 130' niedriger als die freigelegte oberste Oberfläche des Halbleitersubstrats 110. Die erste aktive Region 135 bildet somit die Rippe 135', die höher als die Oberfläche der Isolationsschicht 130' ist. Die Gate-Oxidschicht 160 des FinFET mit dem ersten Leitfähigkeitstyp wird entlang der Oberfläche der Rippe 135' gebildet. Die Gate-Elektrode 165a des FinFET mit dem ersten Leitfähigkeitstyp weist eine flache oberste Oberfläche auf und umgibt die Rippe 135'. Die zweite aktive Region 185 ist eine Siliziumschicht, die aus einer der ersten Source/Drain-Regionen 170 selektiv und epitaktisch aufgewachsen wird.
  • Wenn eine Mehrzahl von FinFET mit unterschiedlichen Leitfähigkeitstypen in einem Zellbereich gebildet werden sollen, wird bevorzugt, dass alle FinFET, die in einer unteren Schicht gebildet sind, einen ersten Leitfähigkeitstyp aufweisen, während alle FinFET, die in einer oberen Schicht gebildet werden, einen zweiten Leitfähigkeitstyp aufweisen. Wenn beispielsweise eine SRAM-Zelle aus n-FinFET und p-FinFET, wie im Vorhergehenden erwähnt ist, aufgebaut ist, wird bevorzugt, dass die n-FinFET alle in einer Schicht gebildet werden und die p-FinFET alle in einer anderen Schicht gebildet werden.
  • Das vertikale Stapeln der FinFET vergrößert die Integrationsdichte der Halbleitervorrichtung. Da die leitfähigen Gate-Schichten der FinFET mit unterschiedlichem Leitfähigkeitstyp ferner vorzugsweise nicht in der gleichen Schicht enthalten sind, ist es möglich, die Herstellung von jedem Typ einer leitfähigen Gate-Schicht auf eine Art und Weise zuzuschneiden, die für die Charakteristika des Leitfähigkeitstyps von jeder leitfähigen Gate-Schicht am besten geeignet ist.
  • 20A bis 32B sind Querschnittsansichten zum Erklären eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einem weiteren Ausführungsbeispiel der vorliegenden Erfindung. Die Halbleitervorrichtung dieses Ausführungsbeispiels ist mindestens teilweise durch den Zellbereich, der FinFET mit unterschiedlichen Leitfähigkeitstypen aufweist, und den Peripherieschaltungsbereich, der einen MOSFET aufweist, charakterisiert. Bei dem folgenden Beispiel wird ein p-FinFET über einem n-FinFET in dem Zellbereich gebildet. Die Erfindung ist natürlich nicht derart begrenzt.
  • Der linke Abschnitt von jeder der 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, 29A, 30A, 31A und 32A ist eine Querschnittsansicht entlang der Reihen- (X-) Richtung (Linie a-a') des Zellbereichs von 1. Der rechte Abschnitt von jeder der 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, 29A, 30A, 31A und 32A ist eine Querschnittsansicht entlang der Reihen- (X-) Richtung (Linie a-a') des Peripherieschaltungsbereichs von 1. Der linke Abschnitt der 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B, 31B und 32B ist eine Querschnittsansicht entlang der Spalten- (Y-) Richtung (Linie b-b') des Zellbereichs von 1. Der rechte Abschnitt von 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, 29B, 30B, 31B und 32B ist eine Querschnittsansicht entlang der Spalten- (Y-Richtung (Linie b-b') des Peripherieschaltungsbereichs von 1.
  • Bezug nehmend auf 20A und 20B wird eine Isolationsschicht 230 in einem Halbleitersubstrat 210, beispielsweise in einem massiven Siliziumsubstrat, gebildet. Eine erste aktive Region 235 ist dort definiert, wo die Isolationsschicht 230 nicht in der Oberfläche des Halbleitersubstrats 210 gebildet ist. Die Isolationsschicht 230 definiert ferner einen Zellbereich und einen Peripherieschaltungsbereich.
  • Die Isolationsschicht 230 kann beispielsweise auf die gleiche Art und Weise wie bei den vorhergehenden Ausführungsbeispielen gebildet werden. In diesem Fall wird ein Abschnitt des Halbleitersubstrats 210 geätzt, um einen Graben 215 zu bilden. Als Nächstes wird eine Isolationsschicht-Zwischenlage 220 an einer inneren Wand des Grabens 215 gebildet, und dann wird der Graben mit einer Lückenfüll-Oxidschicht 225 gefüllt. Die Lückenfüll-Oxidschicht 225 und die Isolationsschicht-Zwischenlage 220 werden anschließend planarisiert, um die Isolationsschicht 230, die in der Oberfläche des Halbleitersubstrats 210 vergraben ist, zu definieren.
  • Bezug nehmend auf 21A und 21B wird eine Maskenschicht 250 durch Stapeln einer Maskenoxidschicht 240 und einer Maskennitridschicht 245 auf dem Halbleitersubstrat 210 gebildet. Die Maskenschicht 250 wird dann geätzt, um eine erste Gate-Bildungsregion 255 zu öffnen. Die Isolationsschicht-Zwischenlage 220 und die Lückenfüll-Oxidschicht 225 der Isolationsschicht 230 werden als Nächstes durch die Maskenschicht 250 derart geätzt, dass eine obere Oberfläche der ersten aktiven Region 235 höher als die Oberfläche der geätzten Isolationsschicht 230' ist. Auf diese Art und Weise wird eine Rippe 235' gebildet.
  • Bezug nehmend auf 22A und 22B wird dann eine erste Gate-Oxidschicht 260 an der Oberfläche der Rippe 235' (2B) innerhalb der freigelegten ersten Gate-Bil dungsregion 255 (21A) gebildet. Die erste Gate-Oxidschicht 260 kann beispielsweise eine Siliziumoxidschicht sein, die durch eine thermische Oxidation aufgewachsen wird. Eine erste leitfähige Gate-Schicht 265 wird als Nächstes an einer Oberfläche der Maskenschicht 250 gebildet, um die erste Gate-Bildungsregion 255 (21A) zu füllen. Die erste leitfähige Gate-Schicht 265 kann aus einer in situ n+-dotierten Polysiliziumschicht gebildet werden.
  • Als Nächstes Bezug nehmend auf 23A und 23B wird die erste leitfähige Gate-Schicht 265 beispielsweise durch ein CMP planarisiert, bis eine oberste Oberfläche der Maskenschicht 250 freigelegt ist. Auf diese Art und Weise wird eine erste Gate-Elektrode 265a innerhalb der ersten Gate-Bildungsregion 255 (21A) in ein Damaszierungsmuster vorteilhaft gebildet.
  • Bezug nehmend auf 24A und 24B wird eine Schutzschicht 270, z. B. eine HDP-CVD-Oxidschicht, an einer gesamten Oberfläche des Halbleitersubstrats 210 gebildet. Die Schutzschicht 270, die wahlweise ist und weggelassen werden kann, dient dazu, die erste Gate-Elektrode 265a bei anschließenden Verfahren zu schützen.
  • Bezug nehmend auf 25A und 25B werden die Schutzschicht 270 und die Maskenschicht 250 in dem Peripherieschaltungsbereich geätzt, um eine MOSFET-Gate-Bildungsregion 275 zu erzeugen. Hier bleibt der Zellenbereich durch die Schutzschicht 270 bedeckt. Eine MOSFET-Gate-Oxidschicht 280 wird danach an der Oberfläche des Halbleitersubstrats 210 innerhalb der MOSFET-Gate-Bildungsregion 270 gebildet. Die MOSFET-Gate-Oxidschicht 280 kann beispielsweise eine Siliziumoxidschicht sein, die durch eine thermische Oxidation aufgewachsen wird.
  • Bezug nehmend auf 26A und 26B wird eine leitfähige MOSFET-Gate-Schicht 285 an einer Oberfläche der Schutzschicht 270 gebildet, um die MOSFET-Gate-Bildungsregion 275 (25A) zu füllen. Die leitfähige MOSFET-Gate-Schicht 285 kann aus einer undotierten Polysiliziumschicht gebildet werden.
  • Bezug nehmend auf 27A und 27B wird die leitfähige MOSFET-Gate-Schicht 285 planarisiert, bis die oberste Oberfläche der Maskenschicht 250 freigelegt ist. Auf diese Art und Weise wird eine MOSFET-Gate-Elektrode 285 innerhalb der MOSFET-Gate-Bildungsregion 275 (25A) in ein Damaszierungsmuster gebildet. Ein CMP kann beispielsweise verwendet werden, um die leitfähige MOSFET-Gate-Schicht 285 zu planarisieren.
  • Als Nächstes Bezug nehmend auf 28A und 28B wird die Maskenschicht 250 entfernt, und eine Ionenimplantation wird durchgeführt, um ein Gate-Dotieren wahlweise zu erreichen und um Source/Drain-Regionen zu bilden. Wenn beispielsweise die erste Gate-Elektrode 265a aus einer in situ n+-dotierten Polysiliziumschicht gebildet wird, werden die ersten Source/Drain-Regionen 190 in dem Zellbereich ohne ein FinFET-Gate-Dotieren gebildet.
  • Ein Gate-Dotieren kann in der Zwischenzeit hinsichtlich der leitfähigen MOSFET-Gate-Schicht 285 unter Verwendung einer Ionenimplantationsmaske, die für die n- oder p-Leitfähigkeit geeignet ist, durchgeführt werden, und dann können MOSFET-Source/Drain-Regionen 295 gebildet werden. Die ersten Source/Drain-Regionen 290 und die MOSFET-Source/Drain-Regionen 295 können ferner aus einer LDD-Struktur gebildet werden. In diesem Fall werden zusätzliche Verfahren zum Bilden von Abstandshaltern an Seitenwänden der ersten Gate-Elektrode 265a und der MOSFET-Gate-Elektrode 285a und zum Ausführen von zusätzlichen Ionenimplantationen benötigt.
  • Bezug nehmend auf 29A und 29B wird eine Zwischenschicht-Isolationsschicht 300 an der gesamten Oberfläche des Halbleitersubstrats 210 gebildet, und danach wird ein Durchgangsloch 305 gebildet, um eine der ersten Source/Drain-Regionen 290 freizulegen.
  • Bezug nehmend auf die 30A und 30B wird ein SEG von Silizium an dem Oberflächenabschnitt der ersten Source/Drain-Region 290, der durch das Loch 305 frei gelegt ist, durchgeführt, um dadurch eine Siliziumschicht zu bilden, die das Durchgangsloch 305 füllt und sich über die Zwischenschicht-Isolationsschicht 300 erstreckt. Die Siliziumschicht wird dann gemustert, um eine zweite aktive Region 310 mit einer Rippenformkonfiguration zu bilden.
  • Als Nächstes Bezug nehmend auf 31A und 31B wird eine zweite Gate-Oxidschicht 315 an der zweiten aktiven Region 310 gebildet. Die zweite Gate-Oxidschicht 315 kann beispielsweise eine Siliziumoxidschicht sein, die durch eine thermische Oxidation aufgewachsen wird. Eine zweite leitfähige Gate-Schicht 320 wird als Nächstes gebildet. Die zweite leitfähige Gate-Schicht 320 kann beispielsweise aus einer undotierten Polysiliziumschicht gebildet werden.
  • Bezug nehmend auf 32A und 32B wird die zweite leitfähige Gate-Schicht 320 gemustert, um eine zweite Gate-Elektrode 320a zu bilden. Eine Ionenimplantation wird anschließend durchgeführt, um wahlweise ein Gate-Dotieren zu erreichen und um zweite Source/Drain-Regionen 325 zu bilden. Die zweiten Source/Drain-Regionen 325 können aus einer LDD-Struktur gebildet werden. In diesem Fall werden zusätzliche Verfahren zum Bilden von Abstandshaltern an den Seitenwänden der zweiten Gate-Elektrode 320a und zum Ausführen einer zusätzlichen Ionenimplantation benötigt.
  • Wie in 32A und 32B gezeigt ist, weist eine Halbleitervorrichtung gemäß dem dritten Ausführungsbeispiel der vorliegenden Erfindung FinFET mit einem ersten und einem zweiten Leitfähigkeitstyp, die in einem Zellbereich gestapelt sind, und einen MOSFET, der in einem Peripherieschaltungsbereich gebildet ist, auf. Der FinFET mit dem ersten Leitfähigkeitstyp weist die erste Gate-Oxidschicht 260, die erste Gate-Elektrode 265a und die ersten Source/Drain-Regionen 290, die alle an der ersten aktiven Region 235 gebildet sind, auf. Die Zwischenschicht-Isolationsschicht 300 ist an dem FinFET mit dem ersten Leitfähigkeitstyp gebildet, und die zweite aktive Region 310 dringt in die Zwischenschicht-Isolationsschicht 300 ein, um mit einer der ersten Source/Drain-Regionen 290 verbunden zu sein, und erstreckt sich über die Zwischenschicht-Isolationsschicht 300. Der FinFET mit dem zweiten Leitfähigkeitstyp weist die zweite Gate-Oxidschicht 315, die zweite Gate-Elektrode 320a und die zweiten Source/Drain-Regionen 325, die alle an der zweiten aktiven Region 310 gebildet sind, auf.
  • Da der FinFET mit dem ersten Leitfähigkeitstyp bei diesem Beispiel unter Verwendung eines Damaszierungsverfahrens gebildet wird, ist die Oberfläche der Isolationsschicht 230' niedriger als die obere Oberfläche des Halbleitersubstrats 210, und die erste aktive Region 235 bildet die Rippe 235', die sich über die Oberfläche der Isolationsschicht 240' erstreckt. Die erste Gate-Oxidschicht 260 ist entlang der Oberfläche der Rippe 235' gebildet. Die erste Gate-Elektrode 265a weist eine allgemein flache oberste Oberfläche auf und umgibt die Rippe 235'. Die zweite aktive Region 300 ist eine Siliziumschicht, die durch ein SEG aus der Oberfläche von einer der ersten Source/Drain-Regionen 290 erhalten wird.
  • Der MOSFET weist die MOSFET-Gate-Oxidschicht 280, die MOSFET-Gate-Elektrode 285a und die MOSFET-Source/Drain-Regionen 295, die alle in dem Peripherieschaltungsbereich gebildet sind, auf.
  • Wenn eine Mehrzahl von FinFET von unterschiedlichen Leitfähigkeitstypen in dem Zellbereich gebildet werden soll, wird bevorzugt, dass alle FinFET, die in einer unteren Schicht gebildet sind, einen ersten Leitfähigkeitstyp aufweisen, während alle FinFET, die in einer oberen Schicht gebildet sind, einen zweiten Leitfähigkeitstyp aufweisen. Wenn beispielsweise eine SRAM-Zelle aus n-FinFET und p-FinFET, wie im Vorhergehenden erwähnt ist, aufgebaut ist, wird bevorzugt, dass die n-FinFET alle in einer Schicht gebildet sind und die p-FinFET alle in einer anderen Schicht gebildet sind.
  • Ein vertikales Stapeln der FinFET vergrößert die Integrationsdichte der Halbleitervorrichtung. Da ferner die leitfähigen Gate-Schichten der FinFET mit unterschiedlichem Leitfähigkeitstyp nicht in der gleichen Schicht enthalten sind, und da der MOSFET in dem Peripherieschaltungskreis enthalten ist, ist es möglich, die Fertigung von jedem Typ einer leitfähigen Gate-Schicht auf eine Art und Weise zuzuschneiden, die für die Charakteristika des Leitfähigkeitstyps jeder leitfähigen Gate-Schicht am besten geeignet ist. Obwohl die vorliegende Erfindung unter Bezugnahme auf exemplarische Ausführungsbeispiele derselben besonders gezeigt und beschrieben ist, ist es für Fachleute offensichtlich, dass verschiedene Änderungen der Form und von Details an derselben durchgeführt werden können, ohne von dem Geist und dem Schutzbereich der vorliegenden Erfindung abzuweichen.
  • Bei den im Vorhergehenden beschriebenen Ausführungsbeispielen sind beispielsweise FinFET mit zwei Leitfähigkeitstypen in zwei Schichten gebildet, d. h. einer unteren Schicht bzw. einer oberen Schicht. Zusätzliche FinFET, die in zusätzlichen Schichten enthalten sind, können jedoch ferner vorgesehen sein. Eine zweite Isolationsschicht ist über dem zweiten FinFET mit dem zweiten Leitfähigkeitstyp gebildet, und ein dritter FinFET mit dem ersten Leitfähigkeitstyp kann beispielsweise über der zweiten Isolationsschicht positioniert sein und eine dritte Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer dritten aktiven Region erstreckt, aufweisen, wobei die dritte aktive Region des dritten FinFET mit einer Source/Drain-Region des zweiten FinFET über eine Öffnung in der zweiten Isolationsschicht verbunden ist. Der Schutzbereich der vorliegenden Erfindung ist wiederum nicht durch die offenbarten Ausführungsbeispiele begrenzt und ist stattdessen durch die folgenden Ansprüche definiert.

Claims (61)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, mit folgenden Schritten: Bereitstellen eines Halbleitersubstrats mit einem Zellbereich und einem Peripherieschaltungsbereich; Bilden einer Maskenschicht über dem Zellbereich und dem Peripherieschaltungsbereich des Halbleitersubstrats; Bilden eines FinFet-Gates durch Bilden einer ersten Öffnung in der Maskenschicht, um eine erste Gate-Region in dem Zellbereich des Halbleitersubstrats freizulegen, und Bilden einer FinFET-Gate-Elektrode in der ersten Öffnung unter Verwendung eines Damaszierungsverfahrens; und Bilden eines MOSFET-Gates durch Bilden einer zweiten Öffnung in der Maskenschicht, um eine zweite Gate-Region in dem Peripherieschaltungsbereich des Halbleitersubstrats freizulegen, und Bilden einer MOSFET-Gate-Elektrode in der zweiten Öffnung unter Verwendung eines Damaszierungsverfahrens.
  2. Verfahren nach Anspruch 1, bei dem das FinFET-Gate vor dem MOSFET-Gate gebildet wird.
  3. Verfahren nach Anspruch 1, bei dem das MOSFET-Gate vor dem FinFET-Gate gebildet wird.
  4. Verfahren nach Anspruch 1, bei dem sich ein Material der FinFET-Gate-Elektrode von einem Material der MOSFET-Gate-Elektrode unterscheidet.
  5. Verfahren nach Anspruch 4, bei dem die FinFET-Gate-Elektrode N+-dotiertes Polysilizium aufweist.
  6. Verfahren nach Anspruch 4, bei dem die FinFET-Gate-Elektrode SiGe, Si oder Poly-Si aufweist.
  7. Verfahren nach Anspruch 5, bei dem die MOSFET-Gate-Elektrode undotiertes Polysilizium aufweist.
  8. Verfahren nach Anspruch 6, bei dem die MOSFET-Gate-Elektrode undotiertes Polysilizium aufweist.
  9. Verfahren nach Anspruch 4, bei dem die FinFET-Gate-Elektrode ein erstes Metall und die MOSFET-Gate-Elektrode ein zweites Metall aufweist.
  10. Verfahren nach Anspruch 1, bei dem die FinFET-Gate-Elektrode und die MOSFET-Gate-Elektrode ein Metall aufweisen.
  11. Verfahren nach Anspruch 1, bei dem die FinFET-Gate-Elektrode derart gebildet ist, um drei Seiten einer aktiven Schicht des Zellbereichs mit einer dazwischen positionierten Gate-Oxidschicht gegenüberzuliegen.
  12. Verfahren zum Herstellen einer Halbleitervorrichtung, das das Bilden einer FinFET-Gate-Elektrode aus einem ersten Material über einem Zellbereich eines Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens und das Bilden einer MOSFET-Gate-Elektrode aus einem zweiten Material über einem Peripherieschaltungsbereich des Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens aufweist.
  13. Verfahren nach Anspruch 12, bei dem sich das erste Material von dem zweiten Material unterscheidet.
  14. Verfahren nach Anspruch 12, bei dem das FinFET-Gate vor dem MOSFET-Gate gebildet wird.
  15. Verfahren nach Anspruch 12, bei dem das MOSFET-Gate vor dem FinFET-Gate gebildet wird.
  16. Verfahren nach Anspruch 13, bei dem die FinFET-Gate-Elektrode N+-dotiertes Polysilizium aufweist.
  17. Verfahren nach Anspruch 13, bei dem die FinFET-Gate-Elektrode SiGe, Si oder Poly-Si aufweist.
  18. Verfahren nach Anspruch 16, bei dem die MOSFET-Gate-Elektrode undotiertes Polysilizium aufweist.
  19. Verfahren nach Anspruch 17, bei dem die MOSFET-Gate-Elektrode undotiertes Polysilizium aufweist.
  20. Verfahren nach Anspruch 13, bei dem die FinFET-Gate-Elektrode ein erstes Metall und die MOSFET-Gate-Elektrode ein zweites Metall aufweist.
  21. Verfahren nach Anspruch 12, bei dem die FinFET-Gate-Elektrode und die MOSFET-Gate-Elektrode ein Metall aufweisen.
  22. Verfahren nach Anspruch 12, bei dem die FinFET-Gate-Elektrode gebildet wird, um drei Seiten einer aktiven Schicht des Zellbereichs mit einer dazwischen positionierten Gate-Oxidschicht gegenüberzuliegen.
  23. Halbleitervorrichtung mit: einem Halbleitersubstrat; einem ersten FET mit einer ersten Leitfähigkeit, der über dem Halbleitersubstrat positioniert ist und eine erste Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer ersten aktiven Region erstreckt, aufweist; einer Isolationsschicht, die über dem ersten FET gebildet ist; und einem zweiten FET mit einer zweiten Leitfähigkeit, der über der Isolationsschicht positioniert ist und eine zweite Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  24. Halbleitervorrichtung nach Anspruch 23, bei der der erste und der zweite FET FinFET sind.
  25. Halbleitervorrichtung nach Anspruch 24, bei der die zweite aktive Region des zweiten FET mit einer Source/Drain-Region des ersten FET über eine Öffnung in der Isolationsschicht verbunden ist.
  26. Halbleitervorrichtung nach Anspruch 24, bei der sich ein Material der ersten Gate-Elektrode von einem Material der zweiten Gate-Elektrode unterscheidet.
  27. Halbleitervorrichtung nach Anspruch 26, bei der die erste Gate-Elektrode N+-dotiertes Polysilizium aufweist.
  28. Halbleitervorrichtung nach Anspruch 26, bei der die erste Gate-Elektrode SiGe aufweist.
  29. Halbleitervorrichtung nach Anspruch 27, bei der die zweite Gate-Elektrode P+-dotiertes Polysilizium aufweist.
  30. Halbleitervorrichtung nach Anspruch 28, bei der die zweite Gate-Elektrode P+-dotiertes Polysilizium aufweist.
  31. Halbleitervorrichtung nach Anspruch 24, mit ferner: einer zweiten Isolationsschicht, die über dem zweiten FET gebildet ist; und einem dritten FinFET mit der ersten Leitfähigkeit, der über der zweiten Isolationsschicht positioniert ist und eine dritte Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer dritten aktiven Region erstreckt, aufweist, wobei die dritte aktive Region des dritten FinFET mit einer Source/Drain-Region des zweiten FET über eine Öffnung in der zweiten Isolationsschicht verbunden ist.
  32. Halbleitervorrichtung nach Anspruch 31, bei der sich ein Material einer ersten FinFET-Gate-Elektrode von einem Material von sowohl der zweiten als auch der dritten Gate-Elektrode unterscheidet.
  33. Halbleitervorrichtung nach Anspruch 32, bei der sich das Material der zweiten Gate-Elektrode von dem Material der dritten Gate-Elektrode unterscheidet.
  34. Verfahren zum Herstellen einer Halbleitervorrichtung, mit folgenden Schritten: Bilden eines ersten FET mit einer ersten Leitfähigkeit über einem Halbleitersubstrat, wobei der erste FET eine erste Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer ersten aktiven Region erstreckt, aufweist; und Bilden einer Isolationsschicht über dem ersten FET; und Bilden eines zweiten FET mit einer zweiten Leitfähigkeit über der Isolationsschicht, der eine zweite Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  35. Verfahren nach Anspruch 34, bei der der erste und der zweite FET FinFET sind.
  36. Verfahren nach Anspruch 35, das ferner das Verbinden der zweiten aktiven Region des zweiten FET mit einer Source/Drain-Region des ersten FET über eine Öffnung in der Isolationsschicht aufweist.
  37. Verfahren nach Anspruch 36, bei dem die zweite aktive Region durch ein selektives epitaktisches Aufwachsen (SEG) durch die Öffnung in der Isolationsschicht gebildet wird.
  38. Verfahren nach Anspruch 36, bei dem sich ein Material der ersten Gate-Elektrode von einem Material der zweiten Gate-Elektrode unterscheidet.
  39. Verfahren nach Anspruch 38, bei dem die erste Gate-Elektrode N+-dotiertes Polysilizium aufweist.
  40. Verfahren nach Anspruch 38, bei dem die erste Gate-Elektrode SiGe aufweist.
  41. Verfahren nach Anspruch 38, bei dem die zweite Gate-Elektrode P+-dotiertes Polysilizium aufweist.
  42. Verfahren nach Anspruch 39, bei dem die zweite Gate-Elektrode P+-dotiertes Polysilizium aufweist.
  43. Verfahren zum Herstellen einer Halbleitervorrichtung, mit folgenden Schritten: Bereitstellen eines Halbleitersubstrats mit einem Zellbereich und einem Peripherieschaltungsbereich; Bilden einer Maskenschicht über dem Zellbereich und dem Peripherieschaltungsbereich des Halbleitersubstrats; Bilden eines ersten FinFET-Gates durch Bilden einer ersten Öffnung in der Maskenschicht, um eine erste Gate-Region in dem Zellbereich des Halbleitersubstrats freizulegen, und Bilden einer FinFET-Gate-Elektrode in der ersten Öffnung unter Verwendung eines Damaszierungsverfahrens; Bilden eines MOSFET-Gates durch Bilden einer zweiten Öffnung in der Maskenschicht, um eine zweite Gate-Region in dem Peripherieschaltungsbereich des Halbleitersubstrats freizulegen, und Bilden einer MOSFET-Gate-Elektrode in der zweiten Öffnung unter Verwendung eines Damaszierungsverfahrens; Bilden von ersten Source- und Drain-Regionen benachbart zu dem ersten FinFET-Gate, um einen ersten FinFET zu definieren; Bilden von zweiten Source- und Drain-Regionen benachbart zu dem MOSFET-Gate, um einen MOSFET zu definieren; Bilden einer Isolationsschicht über dem ersten FinFET und dem MOSFET; und Bilden eines zweiten FinFET mit einem zweiten FinFET-Gate über der Isolationsschicht und gestapelt über den ersten FinFET.
  44. Verfahren nach Anspruch 43, das ferner das Bilden einer Öffnung in der Isolationsschicht aufweist, um entweder die Source- oder die Drain-Region des ersten FinFET freizulegen, wobei eine zweite aktive Region des zweiten FinFET mit entweder der Source- oder der Drain-Region des ersten FinFET über die Öffnung in der Isolationsschicht verbunden ist.
  45. Verfahren nach Anspruch 44, bei dem die zweite aktive Region durch selektives epitaktisches Aufwachsen (SEG) durch die Öffnung in der Isolationsschicht gebildet wird.
  46. Verfahren nach Anspruch 44, bei dem die erste FinFET-Gate-Elektrode gebildet wird, um drei Seiten der ersten aktiven Schicht mit einer dazwischen positionierten ersten Gate-Oxidschicht gegenüberzuliegen, und bei dem die zweite FinFET-Gate-Elektrode gebildet wird, um drei Seiten der zweiten aktiven Schicht mit einer dazwischen positionierten zweiten Gate-Oxidschicht gegenüberzuliegen.
  47. Verfahren nach Anspruch 43, bei dem das erste FinFET-Gate vor dem MOSFET-Gate gebildet wird.
  48. Verfahren nach Anspruch 43, bei dem das MOSFET-Gate vor dem ersten FinFET-Gate gebildet wird.
  49. Verfahren nach Anspruch 43, bei dem sich ein Material der ersten FinFET-Gate-Elektrode von einem Material der MOSFET-Gate-Elektrode unterscheidet.
  50. Verfahren nach Anspruch 43, bei dem sich ein Material der ersten FinFET-Gate-Elektrode von einem Material der zweiten FinFET-Gate-Elektrode unterscheidet.
  51. Verfahren nach Anspruch 50, bei dem sich ein Material der MOSFET-Gate-Elektrode von Materialien der ersten und der zweiten FinFET-Gate-Elektrode unterscheidet.
  52. Verfahren zum Herstellen einer Halbleitervorrichtung, das das Bilden einer ersten FinFET-Gate-Elektrode aus einem ersten Material über einem Zellbereich eines Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens, das Bilden einer MOSFET-Gate-Elektrode aus einem zweiten Material über einem Peripherieschaltungsbereich des Halbleitersubstrats unter Verwendung eines Damaszierungsverfahrens und das Bilden einer zweiten FinFET-Gate-Elektrode aus einem dritten Material gestapelt über die erste FinFET-Gate-Elektrode mit einer dazwischen positionierten Isolationsschicht aufweist.
  53. Verfahren nach Anspruch 52, bei dem sich das erste Material von dem zweiten Material unterscheidet.
  54. Verfahren nach Anspruch 52, bei dem sich das erste, das zweite und das dritte Material voneinander unterscheiden.
  55. Verfahren nach Anspruch 52, bei dem die erste FinFET-Gate-Elektrode vor der MOSFET-Gate-Elektrode gebildet wird.
  56. Verfahren nach Anspruch 52, bei dem die MOSFET-Gate-Elektrode vor der ersten FinFET-Gate-Elektrode gebildet wird.
  57. Halbleitervorrichtung mit: einem Halbleitersubstrat mit einem Zellbereich und einem Peripherieschaltungsbereich; einem ersten FET mit einer ersten Leitfähigkeit, der in dem Zellbereich über dem Halbleitersubstrat positioniert ist und eine erste Gate-Elektrode, die sich über einer obersten Oberfläche und gegenüberliegenden Seitenoberflächen einer ersten aktiven Region erstreckt, aufweist; einem MOSFET, der über dem Peripherieschaltungsbereich positioniert ist und eine zweite Gate-Elektrode aufweist; einer Isolationsschicht, die über dem ersten FET und dem MOSFET gebildet ist; und einem zweiten FET mit einer zweiten Leitfähigkeit, der über der Isolationsschicht positioniert ist und über den ersten FET gestapelt ist, wobei der zweite FET eine zweite Gate-Elektrode, die sich über eine oberste Oberfläche und gegenüberliegende Seitenoberflächen einer zweiten aktiven Region erstreckt, aufweist.
  58. Halbleitervorrichtung nach Anspruch 57, bei dem der erste und der zweite FET FinFET sind.
  59. Halbleitervorrichtung nach Anspruch 58, bei der die zweite aktive Region des zweiten FinFET mit einer Source/Drain-Region des ersten FinFET über eine Öffnung in der Isolationsschicht verbunden ist.
  60. Halbleitervorrichtung nach Anspruch 58, bei dem sich ein Material der ersten Gate-Elektrode von einem Material der zweiten Gate-Elektrode unterscheidet.
  61. Halbleitervorrichtung nach Anspruch 58, bei der sich die jeweiligen Materialien der ersten, zweiten und dritten Gate-Elektrode voneinander unterscheiden.
DE102005022306A 2004-05-17 2005-05-13 Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET) Active DE102005022306B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR1020040034903A KR100618827B1 (ko) 2004-05-17 2004-05-17 FinFET을 포함하는 반도체 소자 및 그 제조방법
KR10-2004-0034903 2004-05-17
US11/080,731 2005-03-16
US10/080,731 2005-03-16
US11/080,731 US7329581B2 (en) 2004-05-17 2005-03-16 Field effect transistor (FET) devices and methods of manufacturing FET devices
DE102005063409 2005-05-13

Publications (2)

Publication Number Publication Date
DE102005022306A1 true DE102005022306A1 (de) 2005-12-15
DE102005022306B4 DE102005022306B4 (de) 2009-12-31

Family

ID=35404556

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005022306A Active DE102005022306B4 (de) 2004-05-17 2005-05-13 Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)

Country Status (3)

Country Link
US (1) US7804137B2 (de)
JP (1) JP5006525B2 (de)
DE (1) DE102005022306B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2259304B1 (de) * 2009-06-05 2016-05-04 STmicroelectronics SA Herstellungsverfahren einer Ebene eines dreidimensionalen Bauelements mithilfe einer dreidimensionalen sequenziellen Integration

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100665853B1 (ko) * 2005-12-26 2007-01-09 삼성전자주식회사 고집적 스태이틱 랜덤 억세스 메모리에 채용하기 적합한적층 메모리 셀
KR100801315B1 (ko) 2006-09-29 2008-02-05 주식회사 하이닉스반도체 돌기형트랜지스터가 구비된 반도체소자의 제조 방법
JP2008171872A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2008282901A (ja) * 2007-05-09 2008-11-20 Sony Corp 半導体装置および半導体装置の製造方法
JP5602340B2 (ja) * 2007-10-30 2014-10-08 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
JP2009272527A (ja) * 2008-05-09 2009-11-19 Toshiba Corp 半導体装置及び半導体装置の製造方法
CN102361011B (zh) * 2008-06-11 2016-06-22 美格纳半导体有限会社 形成半导体器件的栅极的方法
US8208316B2 (en) * 2008-08-19 2012-06-26 Qualcomm Incorporated SRAM yield enhancement by read margin improvement
US9368596B2 (en) 2012-06-14 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US8999779B2 (en) * 2013-09-06 2015-04-07 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
TWI711165B (zh) * 2014-11-21 2020-11-21 日商半導體能源研究所股份有限公司 半導體裝置及電子裝置
US9799565B2 (en) 2014-12-24 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with gate
US10411114B2 (en) 2017-12-21 2019-09-10 International Business Machines Corporation Air gap spacer with wrap-around etch stop layer under gate spacer
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
US10490667B1 (en) 2018-05-15 2019-11-26 International Business Machines Corporation Three-dimensional field effect device
US10971490B2 (en) 2018-05-15 2021-04-06 International Business Machines Corporation Three-dimensional field effect device
US10930565B2 (en) 2018-11-01 2021-02-23 International Business Machines Corporation III-V CMOS co-integration
US20230068484A1 (en) * 2021-08-25 2023-03-02 International Business Machines Corporation Independent gate length tunability for stacked transistors

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4637127A (en) 1981-07-07 1987-01-20 Nippon Electric Co., Ltd. Method for manufacturing a semiconductor device
JPS6427221A (en) * 1987-07-23 1989-01-30 Agency Ind Science Techn Manufacture of laminated type semiconductor device
US5452247A (en) 1989-12-20 1995-09-19 Fujitsu Limited Three-dimensional static random access memory device for avoiding disconnection among transistors of each memory cell
JPH03270066A (ja) * 1990-03-20 1991-12-02 Fujitsu Ltd 半導体装置及びその製造方法
US5041884A (en) 1990-10-11 1991-08-20 Mitsubishi Denki Kabushiki Kaisha Multilayer semiconductor integrated circuit
JPH0582785A (ja) * 1991-09-20 1993-04-02 Sanyo Electric Co Ltd 半導体装置
JPH06140631A (ja) * 1992-10-28 1994-05-20 Ryoden Semiconductor Syst Eng Kk 電界効果型薄膜トランジスタおよびその製造方法
US5675185A (en) * 1995-09-29 1997-10-07 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
US6184083B1 (en) * 1997-06-30 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP3410976B2 (ja) 1998-12-08 2003-05-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 薄膜及びバルク・シリコン・トランジスタを組み合わせる併合化論理及びメモリ集積回路チップとその形成方法
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
JP3543946B2 (ja) * 2000-04-14 2004-07-21 日本電気株式会社 電界効果型トランジスタ及びその製造方法
US6429484B1 (en) 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
JP2003229575A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 集積半導体装置及びその製造方法
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
EP1383166A3 (de) * 2002-07-16 2006-10-11 Interuniversitair Microelektronica Centrum ( Imec) FIN-Feldeffekttransistor-Anordnung und Herstellungsverfahren dafür
US6882010B2 (en) 2002-10-03 2005-04-19 Micron Technology, Inc. High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
KR100746220B1 (ko) 2004-01-12 2007-08-03 삼성전자주식회사 적층된 노드 콘택 구조체들과 적층된 박막 트랜지스터들을채택하는 반도체 집적회로들 및 그 제조방법들
KR100618827B1 (ko) * 2004-05-17 2006-09-08 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2259304B1 (de) * 2009-06-05 2016-05-04 STmicroelectronics SA Herstellungsverfahren einer Ebene eines dreidimensionalen Bauelements mithilfe einer dreidimensionalen sequenziellen Integration

Also Published As

Publication number Publication date
US7804137B2 (en) 2010-09-28
US20080061371A1 (en) 2008-03-13
DE102005022306B4 (de) 2009-12-31
JP2005333136A (ja) 2005-12-02
JP5006525B2 (ja) 2012-08-22

Similar Documents

Publication Publication Date Title
DE102005022306B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
DE102006062862B4 (de) Verfahren zum Herstellen von Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden
DE60019913T2 (de) Halbleiterbauelement und Herstellungsverfahren
DE10328577B4 (de) Nichtflüchtige Speicherzelle und Herstellungsverfahren
DE102005015418B4 (de) Phosphordotierungsverfahren zum Herstellen von Feldeffekttransistoren mit mehreren gestapelten Kanälen
DE102006016550B4 (de) Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden und Verfahren zum Herstellen derselben
US7329581B2 (en) Field effect transistor (FET) devices and methods of manufacturing FET devices
DE102017124145B4 (de) Verfahren zur Ausbildung von Source-/Drain-Epitaxiegebieten von FinFETs
DE102013103470A1 (de) Struktur und Verfahren für einen Feldeffekttransistor
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE102004043856A1 (de) Verfahren zur Herstellung einer Speicherzellenanordnung und Speicherzellenanordnung
DE102017117949A1 (de) Verringerung von rippenverlust beim ausbilden von finfets
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102021108583B4 (de) IC-Produkt mit einer FinFET-Vorrichtung mit einzelner aktiver Finne und eineelektrisch inaktive Struktur für Finnen zur Verringerung von Verspannung
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE10330070A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102019116036A1 (de) Halbleitervorrichtung und verfahren
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102020104975A1 (de) Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode
DE102005018735A1 (de) Halbleiter-Bauelement und Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102019122443A1 (de) Transistoren mit Halbleiter-Stapelschichten als Kanäle
DE19941401C1 (de) Verfahren zur Herstellung einer DRAM-Zellenanordnung
DE19637389C1 (de) Verfahren zur Herstellung einer DRAM-Zellenanordnung
DE102020112763B4 (de) Verfahren zur gateprofilsteuerung durch seitenwandschutz während der ätzung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8172 Supplementary division/partition in:

Ref document number: 102005063409

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 102005063409

Country of ref document: DE

Kind code of ref document: P

8125 Change of the main classification

Ipc: H01L 21/8234 AFI20051017BHDE

AH Division in

Ref document number: 102005063409

Country of ref document: DE

Kind code of ref document: P

8364 No opposition during term of opposition