CN1883037A - 等离子体处理方法以及等离子体处理装置 - Google Patents

等离子体处理方法以及等离子体处理装置 Download PDF

Info

Publication number
CN1883037A
CN1883037A CNA2004800343241A CN200480034324A CN1883037A CN 1883037 A CN1883037 A CN 1883037A CN A2004800343241 A CNA2004800343241 A CN A2004800343241A CN 200480034324 A CN200480034324 A CN 200480034324A CN 1883037 A CN1883037 A CN 1883037A
Authority
CN
China
Prior art keywords
gas
container handling
film forming
gas supply
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800343241A
Other languages
English (en)
Other versions
CN100508134C (zh
Inventor
小林保男
川村刚平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1883037A publication Critical patent/CN1883037A/zh
Application granted granted Critical
Publication of CN100508134C publication Critical patent/CN100508134C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

从天线(7)的平面天线部件通过介质板(6)向处理容器(1)内放射微波,这样,可使从气体供给部件(3)供给至处理容器(1)内的C5F8气体等离子体化(活性化),在半导体晶片(W)上形成一定厚度的添加氟的碳膜。当在每块晶片上进行成膜工序时,进行清洁工序和预涂层工序。在清洁工序中,利用氧气和氢气的等离子体来清洁处理容器内。在预涂层工序中,使C5F8气体等离子体化,形成比成膜工序形成的添加氟的碳膜薄的添加氟的碳的预涂层膜。

Description

等离子体处理方法以及等离子体处理装置
技术领域
本发明涉及利用等离子体形成例如在半导体装置的层间绝缘膜等中所使用的添加氟的碳膜的方法以及装置。
背景技术
作为实现半导体装置的高集成化的方法之一,有使线路多层化的技术。为了得到多层线路结构,利用导电层连接第n个线路层和第(n+1)个线路层,同时,在导电层以外的区域形成称为层间绝缘膜的薄膜。作为该层间绝缘膜的代表有SiO2膜。近年来,在器件的动作中,为了更进一步实现高速化而要求降低层间绝缘膜的电容率。由于这个要求,使得作为碳(C)和氟(F)的化合物的添加氟的碳膜(碳氟化合物)进入人们的视野。SiO2膜的电容率在4左右,相对于此,对于添加氟的碳膜来说,若选定原料气体的种类,则其电容率例如在2.5以下,因此,作为层间绝缘膜是极其有效的膜。
在日本专利特开平10-44667号公报中揭示有使用电子回旋加速器共鸣(ECR)等离子体处理装置,在半导体晶片(以下称为晶片)上形成添加氟的碳膜的技术。具体地说,通过微波和磁场的相互作用而引起ECR,使Ar气等的等离子体发生用气体变成等离子体,通过利用该等离子体使C5F8气体等原料气体变成等离子体,来形成添加氟的碳膜。在这种技术中,也与通常的成膜装置相同,在重复晶片的成膜处理时,添加氟的碳膜堆积在处理容器的内面或者放置台的周边,当该膜超出一定程度的厚度时会剥离,从而成为颗粒的主要原因。因此,在进行规定次数(例如12次)的成膜处理后,利用氧等离子体对处理容器内进行清洁,其次,在处理容器的内壁上形成添加氟的碳的较薄的预涂层膜。
然而,利用ECR等离子体处理装置所形成的添加氟的碳膜,其泄漏电流较大,此外,还存在脆从而密接性差的问题。因此,不能得到能够在实际的器件中使用的高品质的添加氟的碳膜。
因此,本发明者着眼于图5所示的等离子体处理装置进行了研究。该装置包括:在内部设置有放置台85的处理容器81;和在该处理容器81的上部,与放置台85相对设置的圆板形的平面天线部件8。在平面天线部件8中,沿着圆周方向形成有放射微波用的多个槽。在平面天线部件8下面,设置有可使从平面天线部件8放射的微波透过的介质板80。此外,在介质板80和放置台85之间设置有铝制的气体供给部件(喷淋头)83。该气体供给部件83将处理器81内分隔成上侧等离子体生成空间82和下侧处理空间86。此外,气体供给部件83具有连通空间82、86彼此之间的多个通孔84和下面开口的多个气体供给孔(图中没有示出)。
在该装置中,利用从平面天线部件8通过介质板80而放射的微波,使导入到等离子体生成空间82内的Ar气等离子体化。然后,从喷淋头83的气体供给孔供给的C5F8气体,通过通孔84而流入到等离子体生成空间82中并扩散,然后利用Ar等离子体而活性化。这样生成的CF化合物的活性种,通过通孔84而下降至处理空间86内,通过堆积在放置台85上的晶片W的表面上,形成添加氟的碳膜。利用这种方法得到的添加氟的碳膜的泄漏电流小,密接性好。
产生这种膜质有差别的理由被认为是与ECR等离子体处理装置相比,图5所示装置的成膜空间的等离子体密度高且电子温度低。然而,当添加氟的碳膜附着在介质板80的下面时,微波的一部分被添加氟的碳膜所吸收。这样。因为附着在介质板80下面的添加氟的碳膜的厚度不均匀,所以微波的透过率不均匀。因此,生成的等离子体的均匀性降低,对晶片上的成膜处理存在恶劣影响。该影响的程度由附着在介质板80上的膜厚和晶片上形成的膜的目标厚度的关系所决定。但是,在发展层间绝缘膜的薄膜化的状况下,附着膜的存在本身就是个问题。此外,在依次处理多块晶片的情况下,附着在介质板80下面的膜厚依次变化,使得在各晶片彼此之间表面上成膜的添加氟的碳膜的厚度有差异。
此外,在图5的装置中,作为喷淋头83的材料,使用耐腐蚀性良好的铝部件。在铝制喷淋头83的表面,利用作为CF系气体的C5F8气体的等离子体而生成铝和氟的结合,从而形成不工作态的膜。但是,在清洁时,若喷淋头83的表面与氧等离子体接触,则不工作态的膜分解,导致铝完全剥离。此外,由于喷淋头83通过等离子体生成空间82而与平面天线部件8相对,所以,当进行晶片的成膜处理时,正面受到由Ar离子产生的飞溅作用。因此,会产生因铝的飞散造成的对晶片的污染。
发明内容
本发明是在上述背景下形成的,其目的在于提供一种在低介电常数下漏电流较小的添加氟的碳膜,此外,可以使在依次成膜处理的多个基板彼此之间的添加氟的碳膜的厚度均匀性良好的等离子体处理方法以及等离子体处理装置。
根据本发明第一方面,提供一种使用等离子体处理装置,在基板的表面上形成添加氟的碳膜用的等离子体处理方法。该方法的上述等离子体处理装置包括:
在内部设置有放置台的处理容器;
与上述放置台相对地设置在该处理容器的上部,沿着圆周方向形成放射微波用的多个槽的圆板状的平面天线部件;
设置在该平面天线部件的下部,可使从上述平面天线部件放射的微波可透过的介质板;和
设置在该介质板和上述放置台之间,将上述处理容器内分隔成上侧的等离子体生成空间和下侧的处理空间,同时,具有连通这些等离子体生成空间和处理空间之间的多个通孔和多个气体供给孔的、导电性的气体供给部件;
此外,该方法重复两次以上以下工序(a)~(e);
(a)将上述基板放置在上述处理容器内的放置台上的工序;
(b)在上述放置台上的基板表面形成有一定厚度的添加氟的碳膜的工序,其是包括:
(b1)将稀有气体供给至上述等离子体生成空间内的副工序;
(b2)将作为包含碳和氟的化合物的气体的成膜气体,从上述气体供给部件的气体供给孔供给至上述处理空间内的副工序;
(b3)从上述平面天线部件放射微波,通过激活上述稀有气体和上述成膜气体,使从上述成膜气体生成的活性种堆积在上述基板上的副工序的成膜工序;
(c)将成膜的上述基板搬出至上述处理容器外的工序;和
(d)在上述搬出工序后,将包含氧的清洁气体供给至上述处理容器内,通过从上述平面天线部件放射微波,使上述清洁气体等离子体化,利用由上述清洁气体生成的氧的活性种,清洁包含上述介质板的下面的上述处理容器的内面的工序;以及
(e)在上述清洁工序后,将上述成膜气体供给至上述处理容器内,通过从上述平面天线部件放射微波,激活上述成膜气体,利用上述成膜气体生成的活性种,在包含上述气体供给部件的表面的上述处理容器的内面形成比由上述(b)成膜工序形成的上述添加氟的碳膜薄的添加氟的碳的预涂层膜的预涂层工序。
在上述(b)成膜工序的(b1)供给稀有气体的副工序中,也可以利用气体供给部件供给稀有气体,此外,也可以利用与气体供给部件不同的另一气体供给装置(例如与等离子体生成空间内连通的气体供给路)供给稀有气体。
此外,上述(e)的预涂层工序,也可以利用与(b)成膜工序的各个副工序(b1)~(b3)同样的副工序形成预涂层膜。
优选上述(d)的清洁工序和上述(e)的预涂层工序是将虚拟基板放置在上述处理容器内的放置台上进行。
上述气体供给部件例如由铝或者铝合金制成。
在这种情况下,优选还具有在上述(d)的清洁工序和上述(e)的预涂层工序之间,将包含氧的气体和稀有气体的混合气体供给至上述处理容器内,从上述平面天线部件放射微波,使上述混合气体等离子体化,利用从上述混合气体生成的氧原子团对上述气体供给部件的表面进行氧化处理的工序。
或者,也可以将包含氧的气体和稀有气体的混合气体供给至上述处理容器内,从上述平面天线部件放射微波,使上述混合气体等离子体化,利用从上述混合气体生成的氧原子团对上述气体供给部件的表面进行氧化处理的工序,以取代上述(e)的预涂层工序。
在后者的情况下,优选上述(d)的清洁工序是将虚拟基板放置在上述处理容器内放置台上进行。
根据本发明的第二方面,提供一种等离子体处理装置,其利用作为包含碳和氟的化合物的气体的成膜气体,在基板表面上形成添加氟的碳膜。该装置包括:
在内部设置有放置台的处理容器;
在该处理容器内部与外部之间输送上述基板的输送机构;
与上述放置台相对地设置在该处理容器的上部,沿着圆周方向形成放射微波的多个槽的圆板状的平面天线部件;
设置在该平面天线部件的下部,可使从上述平面天线部件放射的微波透过的介质板;和
设置在该介质板和上述放置台之间,将上述处理容器内分隔为上侧的等离子体生成空间和下侧的处理空间。同时,具有连通这些等离子体生成的空间和处理空间之间的多个通孔和多个气体供给孔的、导电性的气体供给部件;
将包含氧的清洁气体供给至上述处理容器内的气体供给路;和
进行重复两次以上以下工序(a)~(e)控制的控制部,即,
(a)利用上述输送机构,将上述基板放置在上述处理容器内的放置台上的工序;
(b)在上述放置台上的基板的表面形成一定厚度的添加氟的碳膜的工序,其是包括:
(b1)将稀有气体供给至上述等离子体生成空间内的副工序;
(b2)将作为包含碳和氟的化合物的气体的成膜气体,从上述供给部件的气体供给孔供给至上述处理空间内的副工序;
(b3)通过从上述平面天线部件放射微波,激活上述稀有气体和上述成膜气体,使得从上述成膜气体生成的活性种堆积在上述基板上的副工序的成膜工序;
(c)利用上述输送机构将成膜的上述基板搬出至上述处理容器外的工序;和
(d)在上述搬出工序后,从上述气体供给路将包含氧的清洁气体供给至上述处理容器内,通过从上述平面天线部件放射微波,使上述清洁气体等离子体化,利用由上述清洁气体生成的氧的活性种,清洁包含上述介质板的下面的上述处理容器的内面的工序;
(e)在上述清洁工序后,将上述成膜气体供给至上述处理容器内,从上述平面天线部件放射微波,通过使上述成膜气体活性化,利用从上述成膜气体生成的活性种,在包含上述气体供给部件的表面的上述处理容器的内面,形成比由上述(b)成膜工序形成的上述添加氟的碳膜薄的添加氟的碳的预涂层膜的预涂层工序。
根据本发明,由于使用从与放置台相对的平面天线部件放射微波,在平面天线部件和放置台之间设置由导电性部件构成的气体供给部件的等离子体处理装置,所以,在成膜工序中,可以生成高密度下电子温度低的等离子体。由于这样,可以得到低介电常数下泄漏电流小的添加氟的碳膜。
此外,当对每一块基板进行成膜时,是利用氧的活性种进行处理容器内面的清洁。由于这样,通常在下一个基板成膜前便可除去在成膜工序中附着在介质板下面的添加氟的碳膜。因此,可以避免基于附着在介质板下面的添加氟的碳膜厚度不均匀性对成膜处理的不利影响。此外,在进行预涂层工序情况下,当对每一块基板进行成膜时,还进行清洁工序和预涂层工序。因此,在依次对多块基板进行成膜处理的情况下,当处理各基板时,在处理容器内面上形成的预涂层膜的厚度通常为一定。这样,依次成膜处理的多块基板彼此间的添加氟碳膜的厚度均匀性良好。
此外,在气体供给部件由铝或者铝合金制成的情况下,被清洁剥离的气体供给部件的表面由预涂层膜保护。此外,在清洁工序后,通过进行氧化处理气体供给部件的表面的工序,可在气体供给部件的表面上形成密接性高的氧化膜。这样,可防止因气体供给部件的材料飞散造成的基板的污染。
附图说明
图1是表示本发明的等离子体处理装置的一个实施方式的截面图。
图2是图1的等离子体处理装置的气体供给部件的底面图。
图3是以部分截面表示图1等离子体处理装置的天线部的立体图。
图4是按(a)~(c)的工序顺序表示本发明等子离体处理方法的处理装置的简要截面图。
图5是说明本发明的课题的等离子体处理装置用的概略截面。
具体实施方式
首先,参照图1~图3,对本明的等离子体成膜装置的实施方式进行说明。
在图1中,以符号1表示的是由铝制成的处理容器。在该处理容器1内设置有放置作为基板的半导体晶片(以下称为晶片)W用的、例如由氮化铝或者氧化铝等制成的放置台2。在该放置台2的表面部上设置有静电夹头21,该静电夹头21的电极通过开关22与直流电源23连接。此外,在放置台2的内部设置有作为温度调节装置的调温媒体的流路24。从流入路25流入的冷媒通过流路24内而从流出路26排出。利用通过该流路24内的冷媒和图未示出的加热器,将放置台2上的晶片W维持在规定温度。例如13.56MHz的偏置用高频电源27与放置台2连接。
而且,在放置台2的上方设置有导电体、例如由铝制成的大致为圆板状的气体供给部件(喷淋头)3。该气体供给部件3将处理容器1内分隔成上侧的等离子体生成空间S1和下侧的处理空间S2。在与放置台2相对的气体供给部件3的下面形成有多个气体供给孔31。在该气体供给部件3的内部,形成与气体供给孔31连通的格子状的气体流路32(参见图2)。气体供给路33与该气体流路32连接。包含碳和氟的成膜气体(例如C5F8气体)的气体供给源34,通过气体供给机器群35而与该气体供给路33连接。C5F8气体通过气体供给路33、气体流路32和气体供给孔31而供给至处理容器1内。气体供给机器群35包含阀或者质量流量控制器等。
如图2所示,在气体供给部件3上形成有在垂直方向贯通的多个通孔36。该通孔36使从气体供给孔31供给的成膜气体流入等离子体生成空间S1内的同时,使在等离子体成空间S1内从成膜气体生成的活性种下降至处理空间S2内。各通孔36在相邻的气体流路32彼此之间形成。
与等离子体生成空间S1内连通的气体供给路4的前端与处理容器1连接。该气体供给路4的基端侧分支成分支管41、42、43。气体供给机器群51和稀有气体(例如Ar气)的供给源52与分支管41连接。气体供给机器群53和O2气体(氧气)供给源54与分支管42连接。气体供给机器群55和H2气体(氢气)供给源56与分支管43连接。其中,各气体供给机器群51、53、55包含阀或者质流量控制器等。
在气体供给部件3的上方设置有由微波可透过的材料(例如氧化铝(Al2O3)或石英等)制成的介质板(微波透过窗)6。在该介质板6上设置有天线7,与该介质板6紧密连接。如图3所示,该天线7具有圆板状的天线本体70和设置在该天线本体70的下面的圆板状的平面天线部件(槽板)71。这些天线本体70和平面天线部件71都由导体构成,在它们之间形成扁平的圆形导波管。在图1所示的例子中,天线本体70分割为上两个部件而构成。其中,下侧部件内部形成通过从外部的冷媒流路,冷媒流通的冷媒积存处72。其中,在图3中,简略说明天线本体70的内部结构,后述详细说明同轴导波管11和天线7的接合结构。
在平面天线部件71和天线本体70之间设置有由氧化铝或者氧化硅、氮化硅等低损失介质材料构成的滞相板73。该滞相板73用于缩短微波的波长,缩短上述圆形导波管内的管内波长。利用这些天线本体70、平面天线部件71和滞相板73构成径向线路槽天线(RLSA)。
这样构成的天线7通过图未示出的密封件而安装在处理容器1中,使得平面天线部件71与介质板6紧密接触。该天线7通过同导波管11与供给频率为2.45GHz或者8.4GHz微波的微波发生装置12连接。同轴导波管11的外侧导波管11A与天线本体70连接,中心导体11B贯通滞相板73而与平面天线部件71连接。
平面天线部件71由厚度为1mm左右的铜板制成,如图3所示,形成多个槽部分74。各槽部分74由相互稍微离开而配置的一对槽74A、74B形成大致的T字形。这些槽部分74沿着平面天线部件71的圆周方向配置成同心圆状或者漩涡状。这样,在各槽部分74中,由于以互相大致垂直的关系配置槽74A和槽74B,因此可以放射包含两个垂直的偏波成分的圆偏波。通过与由滞相板73压缩的微波的波长对应的间隔配置一对槽74A、74B,微波可作为大致的平面波从平面天线部件71放射。其中,各槽部分74也可以利用相互稍微离开配置的一对槽74A、74B而形成大致的V字形。
如图1所示,排气管13与处理容器1的底部连接。真空泵15通过例如由蝶阀等构成的压力调整部14而与该排气管13的基端连接。此外,在处理容器1的内壁上设置有埋入加热器16的套筒17。
等离子体处理装置具有气体供给机器群35、51、53、55、压力调整部14和控制加热器16的控制部10。该控制部10也可以控制在处理容器1内部和外部之间输送晶片W的输送机构(图中没有示出)等。控制部10具有存储包含在处理容器1内进行的后述成膜处理、清洁处理、预涂层处理的处理条件方法或者输送机构输送晶片W的时间等信息的顺序程序的存储部。
接着,对使用上述等离子体处理装置实施本明的等离子体处理方法的一个实施例进行说明。
首先,通过图未示出的闸阀,将作为例如在表面上形成线路的基板的晶片W送入处理容器1内,并放置在放置台2上。接着,将处理容器1的内部抽真空至给定压力。此外,通过气体供给路4,以给定的流量(例如300sscm),作为等离子体气体的Ar气供给至等离子体生成空间S1内。此外,通过气体供给路33,以给定流量(例如170sccm),从气体供给部件3供给作为成膜气体的C5F8气体。然后,将处理容器1内维持在给定的处理压力(例如13.3pa(100mtorr)),将放置台2的表面温度设定为370℃。
另一方面,当从微波发生装置12,以2.45GHz供给2000W的微波时,该微波在同轴导波管11内以TM模式、TE模式和TEM模式传播。到达天7线的微波,通过同轴导波管11的内部导体11B,从平面天线部件71的中心向着周边边缘呈放射状传输。在该期间,微波从各槽74A、74B通过介质板6向下方放射。由于介质板6用氧化铝等微波可透过材料制成,因此,放射的微波可高效率地透过。
利用上述槽74A、74B的配置(图3),微波成为圆偏波而在平面天线部件71的平面上作为圆偏波均匀地放出,可使下方的等离子体生成空间S1的电场密度均匀。利用该微波的能量可在等离子体生成空间S1中,高密度地激励均匀的Ar气的等离子体。另一方面,从气体供给部件3的气体供给孔31向下方吹出的C5F8气体,通过通孔36而流入上侧的等离子体生成空间S1中,在那里与Ar气的等离子体接触而被激活。这样,由C5F8气体生成的活性种通过通孔36而下降至气体供给部件3的下方的处理空间S2内,堆积在晶片W的表面上。这样,在晶片W的表面上,例如以200nm的厚度形成由添加氟的碳构成的层间绝缘膜。即,采用该等离子体处理装置,由于形成活性种主要在夹持气体供给部件3的等离子体生成空间S1的下方存在的处理空间(此处没有等离子体发光)形成,可以说是利用软活性种形成添加氟的碳膜。因此,可得到密致且密接性高、热稳定性高的添加氟的碳膜。
在这种成膜处理中,如图4(a)为了方便而将膜夸张表示那样,不仅在晶片W上,而且处理容器1的内部,也可形成添加氟的碳膜100。形成添加氟的碳膜的晶片W,利用上述输送机构,从处理容器1,通过上述闸阀而被搬出。
若将晶片W从处理容器1搬出,则接着进行清洁工序。因为在先前的成膜工序中,添加氟的碳膜100附着在介质板6在下面等,因此,该清洁工序的目的是除去该膜100。
首先,如图4(b)所示,将虚拟晶片DW送入处理容器1内,并放置在放置台2上。通过由此进行的清洁处理,防止放置台2的表面被蚀刻,还可防止在接下来的预涂层工序中,在放置台2上形成的薄膜附着在其次的处理晶片W的背面上。但是,如果判断没有因清洁气体而损伤放置台的表面的担心,此外没有膜附着在晶片W的背面的担心,则不放置虚拟晶片DW来进行这些工序也可以。
其次,将含有氧的清洁气体供给至处理容器1内。具体地说,打开气体供给机器群51、53和55的各个阀,通过气体供给路4,分别以给定的流量(例如300sccm、300sccm和200sccm),将O2气、H2气和Ar气供给至处理容器1内。与此同时,将处理容器1维持在给定的处理压力(例如13.3Pa),并且将放置台2的表面温度设定为300℃。这时,处理容器1的内壁温度为170℃左右。
另一方面,从微波发生装置12供给2.45GHz、2000W的微波,如上所述来激励等离子体。利用该等离子体激活从气体供给路4供给的O2气体和H2气体(等离子体化)。通过使O2气体变成等离子体,产生由原子团或者离子构成的氧的活性种。这种氧的活性种与附着在处理容器1的内面、介质板6的下面、以及气体供给部件3的表面等上的添加氟的碳膜反应。即,氧等离子体切断添加氟的碳膜的C和F的结合。这样生成的C或者F的分解生成物蒸发飞散,通过排气口13而排出至处理容器1的外部。这时,产生氟的原子团,通过利用H2气体的等离子体化而产生的氢的原子团,氟原子团变成HF飞散,抑制铝部件的腐蚀。此外,氧原子团和添加氧的碳膜的C反应而生成的有机物的一部分,被氢原子团所分解。
这样,进行30秒利用氧等离子体和氢等离子体的清洁,如图4(b)所示那样,可以除去附着在介质板6或者气体供给部件3上的添加氟碳膜100。
作为这种清洁工序,不限于通过氧等离子体和氢等离子体进行的清洁,也可以只利用氧等离子体进行清洁。此外,也可以在利用氧等离子体进行清洁后,再利用氢等离子体进行清洁。此外,也可以使气体供给路4与气体供给部件3兼用。即,从气体供给部件3供给Ar气等稀有气体、O2气体、以及H2气体,由于些气体从气体供给部件3的通孔36(参见图2)向上方的等离子体生成空间S1扩散,所以可以得到同样的作用。
接着,如图4(c)所示,当清洁工序结束时,进行在处理容器1的内面、介质板6的下面和气体供给部件3的表面等上,形成添加氟的碳的薄的预涂层膜101的预涂层处理。进行预涂层工序的目的之一是为使铝制的气体供给部件3的表面成为不工作状态。即,当由氧等离子体和氢等离子体进行清洁时,气体供给部件3的表面的铝成为剥出状态,有可能存在因铝的飞散而造成的污染。
在清洁工序中,当在添加氟的碳膜附着在处理容器1内的状态下,照射氧等离子体时,添加氟的碳膜灰化而被除去,另一方面,C-C-C-O或者CFO等分子量多的残渣生成物附着在壁面或者喷淋头上。当接着进行晶片W的成膜处理时,这些残渣生成物可以进入该晶片W的表面中。因此,进行预涂层工序的另一个目的是遮蔽这些残渣生成物。
该预涂层工序的处理条件也可以与在晶片W上形成添加氟的碳膜的成膜工序条件相同。但是,预涂层膜的厚度也可以比成膜工序形成的添加氟的碳膜薄,例如只要为1nm以上即可。另一方面,先前的清洁工序的目的是为了防止微波的一部分被附着在介质板6的下面的添加氟的碳膜吸收而对成膜处理有影响。从这个观点出发,预涂层膜的厚度可以尽量薄。因此,优选例如将预涂层膜的厚度设定为1~10nm左右作为目标。
采用上述实施方式,从与放置台2相对的平面天线部件71放射微波,在由导电性部件构成的气体供给部件3和介质板6之间产生Ar气的等离子体,此外,在气体供给部件3和放置台2之间生成软的活性种。这样,可以得到在电子密度例如为5×1011个/cm3的高密度下,电子温度低的等离子体。因此,可以适当地切断作为原料气体的C5F8气体的CF结合,得到立体的连锁结构,得到低介电常数的泄漏电流小的添加氟的碳膜。
实际上,在上述实施方式的处理条件下,形成厚度为200nm的添加氟的碳膜,测定该薄膜的电容率和泄漏电流。电容率为2.3,泄漏电流为1×10-8(A/cm)/(1MV/cm)这样良好的值。与此相对,在通过使用相同的原料气体的ECR等离子体装置而形成添加氟的碳膜时,电容率在2.5以上,此外泄漏电流为1×10-7(A/cm)/(1MV/cm)的值。
此外,由于在对每块晶片W进行成膜处理时,利用氧等离子体(和氢等离子体)清洁处理容器1内,所以,通常在紧接着的晶片W的成膜处理前,除去因成膜处理而附着在介质板6上的添加氟碳膜。因此,因附着在介质板6上的添加氟的碳膜而对微波的吸收,对成膜处理没有影响。
对于接着的预涂层工序,当在处理容器1内形成的预涂层膜的厚度较小时,如现有技术那样,在处理12块晶片后,进行清洁工序、预涂层工序的情况下,在最初的晶片和最后的晶片上,在表面上形成添加氟的碳膜的成膜速度大不相同。其理由是,处理容器1内的预涂层膜的厚度越大,则在其上形成的添加氟的碳膜的成膜速度越慢。在本实施方式中,由于对每块进行成膜处理的晶片W进行清洁序和预涂层工序,因此在各个晶片W彼此之间处理环境相同。即,因为附着在处理容器1内的预涂层膜的厚度相同,因此在晶片W彼此间的表面上形成的添加氟的碳膜的厚度的均匀性好。
实际上,在清洁工序后,将晶片表面上形成的添加氟的碳膜的目标厚度设定为300nm,连续地进行多块晶片的成膜处理,测定各晶片的厚度。这样,对于第8块以后的晶片来说,在表面上形成的添加氟的碳膜的厚度明显比目标厚度小。因此,附着在介质板6上的添加氟的碳膜吸收微波有根据。吸收的程度推测是与附着在介质板6上的添加氟的碳膜厚度相对应。特别是,若在晶片上形成的层间绝缘膜的薄膜化进展,则即使附着在介质板6上的添加氟的碳膜的厚度较小,对层间绝缘膜的厚度的面内均匀性也有影响。另一方面,在进行预涂层工序后,当连续对10块以上的晶片进行成膜处理时,为了使晶片彼此之间在表面上形成的膜的厚度均匀,而必需使预涂层的厚度在1000nm以上。当形成这种厚度的预涂层膜时,微波吸收影响的问题结束。因此,在使用RLSA的等离子体处理装置中,在每块晶片W上进行膜处理时,进行清洁序和预涂层工序,可说是非常有效的技术。
此外,构成气体供给部件3的铝的表面,利用C5F8气体的等离子体生成铝的氟化物,成为不工作状态。但是,当曝露在氧等离子体中时,Al-Al-F的结合中的Al-Al被氧所切断,成为铝剥离的状态。此外,在利用氧气和氢气的混合气体的等离子体行清洁的情况下,Al-F的F与氢结合,作为氟化氢而飞散,也可成为铝剥离的状态。当在该状态下对晶片进行成膜处理时,铝被氩离子溅射而可能进入晶片上添加氟的碳膜中。因此,在清洁后进行预涂层,如果添加氟的碳的预涂层膜附着处理容器1的内面或者气体供给部件3的表面等上,则抑制铝的飞散效果。处理容器1或气体供给部件3的材料不限于铝,即使是铝合金,由于耐腐蚀性好,作为等离子体处理装置的零件的材料也是有效的。
此外,在本发明中,也可以取代预涂层工序或者在预涂层工序前,进行氧化处理工序。该氧化工序是,利用氧原子团氧化构成气体供给部件3或者处理容器1的铝的表面形成氧化物被膜。更具体地说,与氧原子团一起合并使用稀有气体的等离子体来处理。即,从气体供给路4,例如以500sccm和100sccm流量,将O2气体和Ar气供给至处理容器2内,并将处理容器2内的压力维持在13.3Pa。此外,如上所述,将2.45GHz、2000W的微波导入处理容器1内。这样,可激活(等离子体化)O2气体和Ar气体,利用生成的氧原子团对铝进行氧化处理。这时,微量的Ar进入氧化物中,可抑制氧化物被膜内的膜应力,得到较高的密接力。作为稀有气体,不限于Ar气体,也可以使用He(氦)气体、Ne(氖)气体、Kr(氪)气体、以及Xe(氙)气体等。
由本发明形成的添加氟的碳膜,并不仅限于层间绝缘膜,也可以作为其他绝缘膜使用。此外,作为原料气体,也可以不使用C5F8气体,而使用CF4气体、C2F6气体、C3F8气体、C3F9气体、以及C4F8气体等。

Claims (7)

1.一种使用等离子体处理装置在基板的表面上形成添加氟的碳膜用的等离子体处理方法,其特征在于,所述等离子体处理装置包括:
在内部设置有放置台的处理容器;
与所述放置台相对地设置在该处理容器的上部,沿着圆周方向形成放射微波用的多个槽的圆板状的平面天线部件;
设置在该平面天线部件下部,可使从所述平面天线部件放射的微波透过的介质板;和
设置在该介质板和所述放置台之间,将所述处理容器内分隔为上侧的等离子体生成空间和下侧的处理空间,同时,具有连通这些等离子体生成空间和处理空间之间的多个通孔和多个气体供给孔的、导电性的气体供给部件,其中,
所述方法重复两次以上以下的工序(a)~(e);
(a)将所述基板放置在所述处理容器内的放置台上的工序;
(b)在所述放置台上的基板的表面形成一定厚度的添加氟的碳膜的工序,其是包括:
(b1)将稀有气体供给至所述等离子体生成的空间内的副工序;
(b2)将作为包含碳和氟的化合物的气体的成膜气体,从所述气体供给部件的气体供给孔,供给至所述处理空间内的副工序;和
(b3)从所述平面天线部件放射微波,通过激活所述稀有气体和所述成膜气体,使得从所述成膜气体生成的活性种堆积在所述基板上的副工序的成膜工序;
(c)将成膜的所述基板搬出至所述处理容器外的工序;以及
(d)在所述搬出工序后,将包含氧的清洁气体供给至所述处理容器内,从所述平面天线部件放射微波,通过使所述清洁气体等离子体化,而利用由所述清洁气体生成的氧的活性种,清洁包含所述介质板的下面的所述处理容器的内面的工序;和
(e)在所述清洁工序后,将所述成膜气体供给至所述处理容器内,通过从所述平面天线部件放射微波,激活所述成膜气体,而利用从所述成膜气体生成的活性种,在包含所述气体供给部件的表面的所述处理容器的内面形成比由所述(b)成膜工序形成的所述添加氟的碳膜薄的添加氟的碳的预涂层膜的预涂层工序。
2.如权利要求1所述的方法,其特征在于:
所述(d)的清洁工序和所述(e)的预涂层工序是将虚拟基板放置在所述处理容器内的放置台上进行的。
3.如权利要求1所述的方法,其特征在于:
所述气体供给部件由铝或者铝合金制成。
4.如权利要求3所的方法,其特征在于:
其还包括在所述(d)的清洁工序和所述(e)的预涂层工序之间,将包含氧的气体和稀有气体的混合气体供给至所述处理容器内,从所述平面天线部件放射微波,使所述混合气体等离子体化,利用从所述混合气体生成的氧原子团对所述气体供给部件的表面进行氧化处理的工序。
5.如权利要求3所的方法,其特征在于:
其包括将包含氧的气体和稀有气体的混合气体供给至所述处理容器内,从所述平面天线部件放射微波,使所述混合气体等离子体化,利用从所述混合气体生成的氧原子团对所述气体供给部件的表面进行氧化处理的工序,来代替所述(e)的预涂层工序。
6.如权利要求5所的方法,其特征在于:
所述(d)的清洁是将虚拟基板放置在所述处理容器内的放置台上进行的。
7.一种等离子体处理装置,其用于利用作为包含碳和氟的化合物的气体的成膜气体在基板的表面上形成添加氟的碳膜,其特征在于,包括:
在内部设置有放置台的处理容器;
在该处理容器内部和外部之间输送所述基板的输送机构。
与所述放置台相对地设置在该处理容器的上部,沿着圆周方向形成放射微波的多个槽用的圆板状平面天线部件;
设置在该平面天线部件下部,可使从所述平面天线部件放射的微波透过的介质板;
设置在该介质板和所述放置台之间,将所述处理容器内分隔为上侧的等离子体生成空间和下侧的处理空间,同时,具有连通这些等离子体生成空间和处理空间之间的多个通孔和多个气体供给孔的、导电性的气体供给部件;
将包含氧的清洁气体供给至所述处理容器内用的气体供给路;和
进行重复两次以上以下工序(a)~(e)控制的控制部,即,
(a)利用所述输送机构,将所述基板放置在所述处理容器内的放置台上的工序;
(b)在所述放置台上的基板的表面上形成一定厚度的添加氟的碳膜的工序,其是包括:
(b1)将稀有气体供给至所述等离子体生成空间内的副工序;
(b2)将作为包含碳和氟的化合物的气体的成膜气体,从所述气体供给部件的气体供给孔,供给至所述处理空间内的副工序;
(b3)通过从所述平面天线部件放射微波,激活所述稀有气体和所述成膜气体,使得从所述成膜气体生成的活性种堆积在所述基板上的副工序的成膜工序;
(c)利用所述输送机构将成膜的所述基板搬出至所述处理容器外的工序;
(d)在所述搬出工序后,从所述气体供给路将包含氧的清洁气体供给至所述处理容器内,通过从所述平面天线部件放射微波,使所述清洁气体等离子体化,而利用由所述清洁气体生成的氧的活性种,清洁包含所述介质板的下面的所述处理容器的内面的工序;以及
(e)在所述清洁工序后,将所述成膜气体供给至所述处理容器内,通过从所述平面天线部件放射微波,激活所述成膜气体,而利用所述成膜气体生成的活性种,在包含所述气体供给部件的表面的所述处理容器的内面形成比由所述(b)成膜工序形成的所述添加氟的碳膜薄的添加氟的碳的预涂层膜的预涂层工序。
CNB2004800343241A 2003-11-19 2004-11-19 等离子体处理方法以及等离子体处理装置 Expired - Fee Related CN100508134C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP389691/2003 2003-11-19
JP2003389691A JP4256763B2 (ja) 2003-11-19 2003-11-19 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
CN1883037A true CN1883037A (zh) 2006-12-20
CN100508134C CN100508134C (zh) 2009-07-01

Family

ID=34616261

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800343241A Expired - Fee Related CN100508134C (zh) 2003-11-19 2004-11-19 等离子体处理方法以及等离子体处理装置

Country Status (5)

Country Link
US (1) US8017197B2 (zh)
JP (1) JP4256763B2 (zh)
KR (1) KR100729876B1 (zh)
CN (1) CN100508134C (zh)
WO (1) WO2005050726A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111719137A (zh) * 2019-03-19 2020-09-29 东京毅力科创株式会社 成膜装置的清洗方法
CN113594017A (zh) * 2016-12-19 2021-11-02 朗姆研究公司 用于远程等离子体处理的室调节
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7549080B1 (en) * 2002-08-27 2009-06-16 At&T Corp Asymmetric data mirroring
WO2006082724A1 (ja) * 2005-02-02 2006-08-10 Tokyo Electron Limited クリーニング方法およびプラズマ処理方法
KR101185757B1 (ko) 2005-06-20 2012-09-25 고에키자이단호진 고쿠사이카가쿠 신고우자이단 층간 절연막 및 배선 구조와 그것들의 제조 방법
JP5119609B2 (ja) * 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
KR101253785B1 (ko) * 2006-12-28 2013-04-12 주식회사 케이씨텍 기판 표면처리장치
KR100841376B1 (ko) 2007-06-12 2008-06-26 삼성에스디아이 주식회사 접합방법 및 그를 이용한 유기전계발광표시장치의 제조방법
KR100889625B1 (ko) 2007-07-19 2009-03-20 삼성모바일디스플레이주식회사 접합방법 및 그를 이용한 유기전계발광표시장치의 제조방법
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
JP5565892B2 (ja) * 2008-06-13 2014-08-06 芝浦メカトロニクス株式会社 プラズマ処理装置、プラズマ処理方法、および電子デバイスの製造方法
JP2011077065A (ja) * 2009-09-29 2011-04-14 Tokyo Electron Ltd 熱処理装置
JP2011077442A (ja) * 2009-10-01 2011-04-14 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置
JP5521561B2 (ja) * 2010-01-12 2014-06-18 信越半導体株式会社 貼り合わせウェーハの製造方法
DE102010006725B4 (de) * 2010-02-03 2016-03-03 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe aus Silizium mit einer epitaktisch abgeschiedenen Schicht
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6023559B2 (ja) * 2012-11-12 2016-11-09 東レエンジニアリング株式会社 薄膜形成装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6423728B2 (ja) * 2015-02-06 2018-11-14 東京エレクトロン株式会社 成膜装置及び成膜方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6632426B2 (ja) 2016-02-29 2020-01-22 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP7235683B2 (ja) * 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7299739B2 (ja) * 2019-04-05 2023-06-28 株式会社アルバック プラズマ処理装置
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
JP7403382B2 (ja) 2020-05-01 2023-12-22 東京エレクトロン株式会社 プリコート方法及び処理装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JPH09283510A (ja) 1996-04-08 1997-10-31 Tokyo Electron Ltd 排ガス処理装置および処理装置のクリーニング方法
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
JP3323764B2 (ja) 1996-11-14 2002-09-09 東京エレクトロン株式会社 処理方法
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
JPH10209137A (ja) * 1997-01-22 1998-08-07 Kokusai Electric Co Ltd 半導体製造装置用クリーニングシステム及びその制御方法
JP3116904B2 (ja) * 1997-10-08 2000-12-11 日本電気株式会社 半導体装置の成膜処理装置、半導体装置の製造方法及び半導体の薄膜形成方法
JP3515347B2 (ja) * 1997-11-27 2004-04-05 東京エレクトロン株式会社 半導体デバイスの製造方法及び半導体デバイス
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
JP4209253B2 (ja) 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113594017A (zh) * 2016-12-19 2021-11-02 朗姆研究公司 用于远程等离子体处理的室调节
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
CN111719137A (zh) * 2019-03-19 2020-09-29 东京毅力科创株式会社 成膜装置的清洗方法
CN111719137B (zh) * 2019-03-19 2023-09-05 东京毅力科创株式会社 成膜装置的清洗方法

Also Published As

Publication number Publication date
US8017197B2 (en) 2011-09-13
KR100729876B1 (ko) 2007-06-18
US20070077737A1 (en) 2007-04-05
CN100508134C (zh) 2009-07-01
KR20060088562A (ko) 2006-08-04
JP2005150622A (ja) 2005-06-09
WO2005050726A1 (ja) 2005-06-02
JP4256763B2 (ja) 2009-04-22

Similar Documents

Publication Publication Date Title
CN1883037A (zh) 等离子体处理方法以及等离子体处理装置
US7807579B2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
US9385318B1 (en) Method to integrate a halide-containing ALD film on sensitive materials
KR102513108B1 (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
US7662723B2 (en) Methods and apparatus for in-situ substrate processing
CN1169199C (zh) 用于铜互连的阻挡层的形成方法
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
CN1943021A (zh) 电子装置用基板及其处理方法
US11987876B2 (en) Chamfer-less via integration scheme
CN1725442A (zh) 氮化钛去除方法
CN101606234A (zh) 蚀刻方法及存储介质
TW201703074A (zh) 蝕刻磁性層之方法
CN101065833A (zh) 灰化方法与灰化装置
US9130018B2 (en) Plasma etching method and storage medium
JP2017059750A (ja) 被処理体を処理する方法
US20070111528A1 (en) Method of cleaning semiconductor substrate conductive layer surface
TWI809086B (zh) 蝕刻方法及電漿處理裝置
CN110010466B (zh) 蚀刻方法
US9384999B2 (en) Plasma etching method and storage medium
CN1795546A (zh) 氟化碳膜的形成方法
CN1967786A (zh) 等离子体蚀刻方法
CN1910746A (zh) 半导体装置的制造方法以及成膜系统
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
TW201517169A (zh) 預清洗半導體結構
US11798793B2 (en) Substrate processing method, component processing method, and substrate processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090701

Termination date: 20131119