CN1788340A - 用于改进了的全面双重镶嵌平坦化的系统、方法和设备 - Google Patents

用于改进了的全面双重镶嵌平坦化的系统、方法和设备 Download PDF

Info

Publication number
CN1788340A
CN1788340A CNA2004800129869A CN200480012986A CN1788340A CN 1788340 A CN1788340 A CN 1788340A CN A2004800129869 A CNA2004800129869 A CN A2004800129869A CN 200480012986 A CN200480012986 A CN 200480012986A CN 1788340 A CN1788340 A CN 1788340A
Authority
CN
China
Prior art keywords
cover layer
layer part
basically
planarization
heterogeneity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800129869A
Other languages
English (en)
Other versions
CN1788340B (zh
Inventor
S·P·娄荷凯
A·D·贝利三世
D·韩克尔
J·M·库克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1788340A publication Critical patent/CN1788340A/zh
Application granted granted Critical
Publication of CN1788340B publication Critical patent/CN1788340B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

用于平坦化构图的半导体衬底(100)的系统和方法包括接收构图的半导体衬底。该构图的半导体衬底(100)具有导电的互连材料(120)填充图案中的若干特征。该导电的互连材料具有覆盖层部分(112)。该覆盖层部分具有局部化的非均匀性。去除覆盖层部分的主体部分以平坦化覆盖层部分(120)。绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性。蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。

Description

用于改进了的全面双重镶嵌平坦化的系统、方法和设备
                      发明背景
1.发明领域
本发明一般涉及双重镶嵌半导体制作工艺,更特别地涉及在半导体制作工艺中用于平坦化特征和层的方法和系统。
2.相关技术说明
双重镶嵌制作工艺在半导体制作中变得更为普及。在典型的双重镶嵌制作工艺中,一种或多种导电材料沉积在预先构图的沟槽和通道或薄膜中以形成所期望的电路互连,所述的沟槽和通道形成于半导体衬底而薄膜形成于半导体衬底上形成。通常会形成导电材料的多余或覆盖层部分。导电材料的覆盖层部分是多余的和不受欢迎的,且必须去除,以制作镶嵌特征并为后续的工艺提供平坦的表面。
通过化学机械抛光(CMP)和电-化学抛光(ECP)(例如,蚀刻)工艺以及CMP和ECP工艺的结合从半导体衬底上典型去除导电材料的覆盖层部分。这些工艺的每一种都有很多的不足之处。举例来说,ECP典型地具有相对低的生产量,差的均匀性,并且不能有效去除不导电材料。
CMP需要物理接触过程,该物理接触过程典型地留下导电的残余物,或引起多种材料的腐蚀,或导致不均匀的去除,且不能适用于平坦化互连和层间电介质(ILD)顶表面。CMP还能引起对余下的互连和ILD结构的应力相关损坏(例如,层间分离,剥离)。最近常用的材料的非常差的层间粘附特性进一步加剧了CMP-引发的应力损坏。减小CMP工艺的物理力以减小物理应力通常会导致不能接受的低生产率和其它差的工艺性能参数。
考虑到上述的内容,需要改进了的平坦化系统和方法,以均匀地和充分地去除覆盖层材料,同时将对余下的特征的物理应力减至最小。该改进了的平坦化系统和方法应当适用于半导体制造,且应当适用于例如双重镶嵌工艺或其它的半导体制作工艺等工艺。
                         发明内容
广泛而言,本发明通过提供用于平坦化构图的半导体衬底的系统和方法来满足这些需要。应当理解的是,本发明可以采用各种方式加以实施,包括工艺、设备、系统、计算机可读介质,或者装置。下文将说明本发明的几个有创造性的实施方案。
一个实施方案提供了一种平坦化构图的半导体衬底的方法。该方法包括接收构图的半导体衬底。该构图的半导体衬底具有导电的互连材料填充图案中的若干特征。该导电的互连材料具有覆盖层部分。该覆盖层部分具有局部化的非均匀性。去除覆盖层部分的主体部分以平坦化该覆盖层部分。绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性。蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。在双重镶嵌工艺中,图案可以形成于构图的半导体衬底上。
去除覆盖层部分的主体部分可包括在覆盖层部分上形成附加层且平坦化该附加层和覆盖层部分。在平坦化工艺中,附加层基本上完全被去除。
做为选择,去除覆盖层部分的主体部分可包括通过化学转化覆盖层部分的顶表面和顶部分在覆盖层部分上形成附加层,并且蚀刻附加层和覆盖层部分的至少一部分以基本上平坦化覆盖层部分,附加层基本上完全被去除。平坦化附加层和覆盖层部分可包括重复工艺,该重复工艺包括蚀刻附加层,形成第二附加层,蚀刻第二附加层。
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性可包括使用涡流传感器绘制基本上局部平坦化的覆盖层部分的形态图。
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性可包括原位绘制基本上局部平坦化的覆盖层部分的形态图。
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性可包括调整蚀刻方法以补偿全面的非均匀性。
做为选择,蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性可包括在不给予若干特征机械应力的情况下基本上消除全面的非均匀性。
做为另一种选择,蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性也可包括蚀刻以露出形成于构图的特征上的阻挡层。蚀刻对于阻挡层是可选的。
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性可包括基本上将若干特征中的导电的互连材料的任何凹陷减至最小。
也可包括最后蚀刻工艺。该最后蚀刻工艺可基本上去除形成于构图的特征上的阻挡层。最后蚀刻工艺也可包括掩模材料的去除。
导电的互连材料可包括铜和/或元素铜。
另一个实施方案包括半导体装置,该半导体装置由包括接收构图的半导体衬底的方法形成。该构图的半导体衬底具有导电的互连材料填充图案中的若干特征。该导电的互连材料具有覆盖层部分,该覆盖层部分包括局部的非均匀性。去除覆盖层部分的主体部分以平坦化该覆盖层部分。绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性。蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
另一个实施方案包括形成双重镶嵌互连结构的方法。该方法包括接收双重镶嵌构图的半导体衬底。该双重镶嵌构图的半导体衬底具有导电的互连材料填充双重镶嵌图案中的若干特征。该导电的互连材料具有覆盖层部分,该覆盖层部分包括局部的非均匀性。去除覆盖层部分的主体部分以平坦化该覆盖层部分。去除覆盖层部分的主体部分包括在覆盖层部分上形成附加层且平坦化该附加层和覆盖层部分。在平坦化工艺中,附加层基本上完全被去除。绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性。蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
另一个实施方案包括形成双重镶嵌互连结构的方法。该方法包括接收双重镶嵌构图的半导体衬底。该双重镶嵌构图的半导体衬底具有导电的互连材料填充双重镶嵌图案中的若干特征。该导电的互连材料包括覆盖层部分,该覆盖层部分包括局部的非均匀性。去除覆盖层部分的主体部分以平坦化该覆盖层部分。去除覆盖层部分的主体部分包括通过化学转化覆盖层部分的顶表面和顶部分在覆盖层部分上形成附加层。蚀刻附加层和覆盖层部分的至少一部分以基本上平坦化覆盖层部分,附加层基本上完全被去除。绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性。蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
本发明提供的优势在于基本上消除跨越整个衬底的全面非均匀性的同时将机械应力减至最小。
从下文附有图示的详细说明中将更明了本发明的其它状况和优点,其中图示是用以举例说明本发明的原理。
                      附图说明
通过下文附有图示的详细说明将更容易理解本发明,并且相同的附图标记表示相同的结构元件。
图1显示的是根据本发明的一个实施方案的构图的半导体衬底。
图2显示是根据本发明的一个实施方案增加的附加层。
图3显示的是根据本发明的一个实施方案的基本上平坦的覆盖层部分。
图4A显示的是根据本发明的一个实施方案的经过第二蚀刻工艺的衬底。
图4B显示的是根据本发明的一个实施方案的经过阻挡层去除工艺的衬底。
图5显示的是根据本发明的一个实施方案的执行局部平坦化的方法操作的流程图。
图6A-6D显示的是根据本发明的一个实施方案的用于衬底以增加局部均匀性的化学转化和回蚀刻工艺序列。
图7显示的是根据本发明的一个实施方案,用于衬底以增加局部均匀性的化学转化和回蚀刻工艺的方法操作的流程图。
图8显示的是根据本发明的一个实施方案,修正全面非均匀性的方法操作的流程图。
图9显示的是根据本发明的一个实施方案,基本上去除、平坦化的覆盖层部分。
                     具体实施方式
现在将讲述几个关于改进了的平坦化系统和方法的示例性实施方案。对于本领域技术人员来说应当明了的是,本发明可以在不具备这里所提出的具体细节中的若干项或全部项的情况下来加以实施。
一个关于改进了的平坦化系统和方法的实施方案提供了改进了的跨越半导体衬底局部的局部平坦化均匀性。改进了的局部平坦化均匀性基本上消除了由下面层中的特征和沉积工艺中的变化引起的局部非均匀性。另一个实施方案提供了改进了的跨越整个衬底的全面平坦化均匀性(例如,边缘均匀性相比于中心均匀性)。
图1显示的是根据本发明的一个实施方案,在双重镶嵌工艺中构图的半导体衬底100。衬底100已经做为半导体制作工艺例如双重镶嵌制作工艺的一部分而被图案化。可使用掩模来构图衬底100。衬底100包括一个大的略为孤立的特征102(例如沟槽,通道,等)、一个小的略为孤立的特征104和几个紧密堆积在一起的特征106。也包括阻挡层110。与衬底100或导电的互连材料120相比,阻挡层110通常是不同的材料。导电的互连材料120可以是铜或铜合金或其它导电材料。
导电的互连材料120的覆盖层部分112延伸到特征102、104、106上,且包括覆盖层部分112相应的局部化厚度变化114、116、118。如图所示,与小特征104相比,大特征102在覆盖层部分112的厚度上具有大的减小量,而特征104在覆盖层部分112的厚度上具有微小的变化。紧密堆积特征106具有略为增加的覆盖层部分112厚度。
在整个晶片区域采用典型蚀刻工艺以相当均匀的速率蚀刻导电的互连材料120的覆盖层部分112,则典型的蚀刻工艺将在紧密堆积特征106附近的阻挡层110露出之前就露出大特征102附近的阻挡层110。总言之,典型的蚀刻工艺不能平坦化导电的互连材料的覆盖层部分112。
图2显示的是根据本发明的一个实施方案增加的附加层202。附加层202形成于覆盖层部分112顶部。附加层202可以是基本上平坦的填充材料(例如,旋转涂布玻璃(SOG),多晶硅,聚合物抗蚀剂,双分子层,紫外或热固化材料,或其它可以流动以形成平坦表面和具有适当的蚀刻参数的材料)。在附加层202和覆盖层部分112之间也可包括可选的相对薄的(例如,厚度大约25-100nm)共形层204。共形层204可以是阻挡层或粘附层。共形层204可以允许更多种类的材料可用于附加层202。
附加层202和覆盖层部分112具有基本上1∶1的蚀刻选择性,因此后续的蚀刻工艺(例如,等离子体或气态蚀刻工艺)可以基本上相同的速率同时蚀刻附加层202和覆盖层部分112。
图3显示的是根据本发明的一个实施方案,基本上平坦的覆盖层部分112′。因为附加层202在层100、110、112、202的堆叠上形成基本平坦的表面,那么第一蚀刻工艺可均匀地蚀刻整个区域上的附加层202和覆盖层112,直到由于局部变化114、116、118基本上被消除而使余下的覆盖层部分112′基本上局部平坦。
典型的方法应包括提供附加层202和覆盖层部分112之间1∶1的蚀刻选择性的条件。举例来说,若附加层202是旋转涂布玻璃(SOG),且覆盖层部分112是铜,那么卤(例如,Cl,F,Br,I)-基化学组成提供了同时对SOG和铜的蚀刻速率控制,以允许其调整到所期望的1∶1选择性。尽管可使用任何生成活性卤基的等离子原料气体,CF4、Cl2和HCl是典型的例子。可调整不同的工艺参数以控制蚀刻速率、选择性、均匀性和减小腐蚀,包括工艺变量的变化,例如衬底温度和包含一种或多种添加剂(例如,Ar,H2,Cl,O2,CH3X(X=F,Cl,Br,I),CH2F2,和CH4)。
另一种方法包括以Ar或其它惰性气体,例如He、Xe、Ne、Kr作为主要的蚀刻剂,对铜覆盖层部分112的溅射为主的蚀刻,其它的添加剂提供了对附加层202的蚀刻速率控制和余下的铜112的顶表面钝化。其它的添加剂可包括例如H2和/或CF4。这些工艺中的任一个都可在大约75℃到大约400℃的宽的温度范围下操作。
第一蚀刻工艺被设计用于由于局部变化114、116、118基本上被消除而使余下的覆盖层部分112′基本上局部平坦的蚀刻工艺。一或多个后续的蚀刻工艺将去除覆盖层部分112′的主体部分或大部分。可应用最后蚀刻工艺继续该蚀刻工艺,直到从阻挡层110上去除覆盖层部分112′的终点。最后蚀刻工艺也可包括在主体蚀刻工艺中。最后蚀刻后的后续工艺可包括可选的阻挡层去除和余下的导电材料120的钝化,以防腐蚀和提供进一步操作的稳定性。最后蚀刻后的附加操作可设计成不是用于有效地去除任何材料,而仅仅是钝化余下的导电材料120以防腐蚀和提供进一步操作的稳定性。
图4A显示的是根据本发明的一个实施方案,经过第二蚀刻工艺的衬底100。第二蚀刻工艺继续进行,直到阻挡层110基本上在所有位置上同时露出,只剩下导电材料的部分120(例如铜,含铜合金以及两者的组合,和其它的导体材料)填充特征102、104、106。
第一和第二蚀刻工艺可以基本上相同或者很不相同。举例来说,第一蚀刻工艺可以是用于改善归因于局部非均匀性114,116,118(例如,由于特征102,104,106的位置,尺寸,以及下面层的浓度等导致的)的覆盖层部分112的局部平坦化的蚀刻工艺。附加层202的全部和覆盖层部分112的部分可以在第一蚀刻工艺中被去除。相比较而言,第二蚀刻工艺是更具选择性的蚀刻工艺,用以去除余下的平坦的覆盖层112′的主体部分直到结束(也即,当阻挡层110露出)。
图4B显示的是根据本发明的一个实施方案,经过阻挡层去除工艺的衬底。阻挡层110的一部分被去除,露出其下的掩模层402。在特征102,104,106中形成的阻挡层仅有部分被保留。典型的第二蚀刻工艺以高速去除覆盖层112的主体部分,且优选的对阻挡层110有很高的选择性。举例来说,若覆盖层部分112是铜,卤-基的化学物质(例如,Cl2,CF4,HCl,HBr,BCl3)可以有效的应用于第二蚀刻工艺。另外一种方法中,可以使用物理方法为主的蚀刻工艺,例如Ar(或者其它贵或惰性气体)基溅射工艺。可以通过调整多个工艺参数来控制蚀刻速率和选择性。多个工艺参数可包括调整工艺变量比如活性物质的衬底温度平衡,以及包含一种或者多种添加剂(例如,H2,O2,Ar,He,Xe,Ne,Kr,等)。
图5显示的是根据本发明的一个实施方案,执行局部平坦化的方法操作的流程图500。在操作505中,附加层202加在导电的覆盖层部分112的顶部。在操作510中,第一蚀刻工艺用于去除附加层202和导电的覆盖层部分112的绝大部分。在操作515中,第二蚀刻工艺用于去除余下的覆盖层部分112′直至结束。
在做为选择的实施方案中,操作515也可包括上文所述的最后蚀刻工艺。最后蚀刻后的后续工艺可包括可选的阻挡层去除和余下的导电材料120的钝化,以防腐蚀和提供进一步操作的稳定性。最后蚀刻后的附加操作可设计成不是用于有效地去除任何材料,而仅仅是钝化余下的导电材料120以防腐蚀和提供进一步操作的稳定性。
图6A-6D显示的是根据本发明的一个实施方案,用于衬底600以增加局部均匀性的化学转化和回蚀刻工艺序列。图7显示的是根据本发明的一个实施方案,用于衬底600以增加局部均匀性的化学转化和回蚀刻工艺的方法操作的流程图700。正如图6A所示,衬底600与上文的图1所描述的衬底100一样,有基本上非平坦的覆盖层部分602,该非平坦的覆盖层部分602具有非平坦的表面轮廓606。
现在参考图6B和图7,在操作705中,附加层604形成于覆盖层部分602的顶部。附加层604可沉积或者形成于覆盖层部分602上。举例来说,附加层604可以通过覆盖层部分602的最顶部的化学转化来形成。若覆盖层部分602是铜或铜合金,则可控的暴露于气体过程可形成铜反应生成物层604。一个例子是卤素气体可形成Cu-卤化物层604。铜反应物层604扩散入铜覆盖层602的表面,以转化成铜覆盖层的顶部。在本领域中,铜的化学转化处理工艺是众所周知的,比如Nagraj S.Kulkarni和Robert T.DeHoff,“Application ofVolatility Diagrams for Low Temperature,Dry Etching,andPlanarization of Copper”,Journal of ElectrochemicalSociety,149(11)G620-G632,2002。
在另一个实施例中,附加层604可沉积在覆盖层部分602上。沉积层604可包括沉积在覆盖层部分602上的聚合物层或氧化物层。
现在参考操作710和图6C,应用回蚀刻工艺去除附加层604。覆盖层部分602的一部分也可被去除。去除附加层604导致覆盖层部分602的轮廓进一步软化(即平坦化)形成轮廓606′。Cu-卤化物基本上软化覆盖层部分602的周边部分。Cu-卤化物也可保持与铜覆盖层部分602基本上1∶1的回蚀刻选择性。可以重复多次操作705和710,以基本上平坦化覆盖层部分602形成后续的轮廓606′和606″,如图6D所示,直到形成的轮廓基本上平坦。
可通过在Cu-活性物质界面处氧化铜而典型地实现使用化合物形成的形状依赖的铜覆盖层部分602的化学转化。在这种情况下的铜氧化可包括元素铜化学转化成铜处于阳极氧化状态的铜化合物。举例来说,铜在表面上被氧化成一价铜或二价铜的氯化物,可在低温(例如,<200℃)氯等离子体中发生。
回蚀刻工艺包括还原该铜化合物,至另一种可以挥发且因此可在固定的衬底温度下离开余下的覆盖层602’的表面的化合物。举例来说,在活性氢物质(例如,H2等离子体)存在的情况下还原CuCl2为挥发性的Cu3Cl3。转化部分的回蚀刻之后交替进行形状依赖转化可引起铜覆盖层部分602的主体去除,同时平坦化铜覆盖层602的形貌(例如,轮廓)。
在操作715中,若覆盖层部分602基本上被平坦化,则方法操作结束。做为选择,若在操作715中,覆盖层部分602没有被基本上平坦化,则方法操作继续上述的操作705。在一个实施方案中,操作705-715可以在单一蚀刻室中原位发生。在做为选择的实施方案中,操作710可异位发生,且可包括ECD或低力CMP工艺以获得如图6D所示的基本上平坦的覆盖层部分602′。
图6A-7所描述的方法操作可用作平坦的主体去除工艺,同时执行非平坦的覆盖层部分602的平坦化和覆盖层部分602的主体去除。
衬底100,600的局部平坦化可通过本领域已知的几种层厚度绘图技术中的任一种或多种来确定。举例来说,涡流传感器可以绘出覆盖层部分112,112’的厚度。涡流传感器(ECS)可以精确测量导电膜非常薄的层(例如,厚度小于1200埃)。精确测量非常薄的层需要基本上消除、最小化或补偿由衬底中的涡流引起的ECS信号成分。也可在多步骤工艺内,例如在蚀刻、CMP或沉积工艺内测量导电膜的非常薄的层的厚度。举例来说,在CMP工艺内,所处理的衬底可从抛光表面上移开,同时ECS可移动至与衬底间距一已知距离处以测量衬底上的膜的厚度。衬底和/或ECS可相互移动,这样ECS可绘出衬底的完整表面,且可识别衬底表面上的金属膜的位置和厚度。
上文的图1-7中描述的方法和系统讲述了多种基本上消除覆盖层部分中的局部的、图案依赖的非均匀性的方法。当然,上文的图1-7中描述的方法和系统没有直接陈述全面非均匀性的修正。全面的非均匀性可包括衬底中心的材料相比于边缘的材料的去除速率的变化,和其它非局部化现象的非均匀性。
图8显示的是根据本发明的一个实施方案,修正全面非均匀性的方法操作800的流程图。在操作805中,接收具有局部化的非均匀性,例如在覆盖层部分的特征-图案依赖的非均匀性的衬底。在操作810中,局部化的非均匀性基本上被消除,例如通过CMP、ECP或上文的图1-7中描述的方法和设备,或本领域的其它已知的任何方法。基本上去除局部化的非均匀性形成了基本上局部平坦化的覆盖层部分,例如上文的图3中所示的平坦化的覆盖层部分112’。
图9显示根据本发明的一个实施方案,基本上去除、平坦化的覆盖层部分902。基本上去除、平坦化的覆盖层部分902可为相对薄的覆盖层部分,例如厚度为几百埃。
在操作815中,绘制具有平坦化的覆盖层部分的衬底的形态图,以确定和量化平坦化的覆盖层部分中的任何全面的非均匀性。可采用上文所述的本领域已知的几种层厚度绘图技术中的任一种或多种来绘制平坦化的覆盖层部分的形态图。可以原位(在当前的工艺室中)或异位(在当前的工艺室外)绘图。原位的绘图过程也可是动态的,且允许后序的工艺在进行中做动态的调整。
在操作820中,在上文的操作815中测定的全面的非均匀性的位置和数量可在基本上无机械应力的过程中,通过调整蚀刻工艺以适应最后蚀刻工艺中探测到的全面的非均匀性的特定需要而被去除。举例来说,若余下的覆盖层部分902的中心厚约500埃,边缘厚约300埃,则可调整方法,这样可补偿中心到边缘的非均匀性,所以整个阻挡层110将同时露出。无应力工艺因为在回蚀刻工艺中没有在衬底上施加机械力从而避免了上文所述的CMP的问题。
选择的方法(例如,工艺变量的选择值)对阻挡层110是可选的(也即,将在比蚀刻铜慢很多的速率下蚀刻阻挡层,例如,这些工艺中铜蚀刻相对于阻挡层蚀刻的典型选择性范围大于约1但小于约3),且将使任何凹陷减至最小(例如,特征102,104,106中的导电材料120的过度去除)。
最后蚀刻对于余下的覆盖层部分902的铜和阻挡层110可具有相对低的蚀刻速率,以最小化特征102,104,106中关于阻挡层110余下的高度阻挡的任何凹陷。结果,最后蚀刻对于蚀刻铜不具有很高的选择性。
也可包括最后的回蚀刻工艺。最后的回蚀刻工艺包括具有合适的选择性和均匀性控制的掩模材料和/或ILD材料的回蚀刻,这样最后的结果在铜和ILD损失最小的情况下提供了基本上全面均匀的和基本上平坦的特征(例如,在最后蚀刻和阻挡层去除工艺的终止处,任何铜凹陷在衬底100上是全面均匀的)。在这种情况下,最后蚀刻将包括均匀的工艺以回蚀刻具有高选择性的掩模材料,将铜损失和铜凹陷减至最小。举例来说,低卤素浓度和低衬底温度(例如,小于大约200℃)的卤基工艺将维持低的铜蚀刻速率,同时仍能有效地化学蚀刻掩模材料。可使用任何包含卤素活性物质(例如,CF4,C2F6,C4F6)的等离子体原料气体。蚀刻速率控制添加剂可包括Ar,O2,CH2F2和其它也可包括的物质。
在最后蚀刻和最后回蚀刻工艺的终止处,若全面的铜凹陷和/或掩模/ILD损失在整个衬底上是非均匀的,则必须在方法中进行附加的变化以修正全面的非均匀性。举例来说,典型的情况是蚀刻非均匀性的结果被描述成中心快或边缘快的蚀刻速率。这些情况中的任一种都可导致整个衬底上的铜凹陷和/或掩模/ILD损失的变化。在掩模/ILD材料的最后回蚀刻中,可以使用合适的均匀性和选择性控制以获得补偿来对抗这一变化,获得整体上平坦的具有最小的铜和掩模损失的特征。在可导致衬底中心出现大的铜凹陷的中心快的最后蚀刻工艺的情况下,可通过边缘块的最后回蚀刻工艺加以补偿,该工艺选择性蚀刻掩模材料以使特征102,104,106中的铜处于同一水平。该工艺中获得的典型的选择性大于2。提供均匀性控制的方法变化包括整个衬底上的压力和温度变化,离子流量均匀性控制,气体浓度和室壁温度。控制选择性的变化包括活性卤素物质浓度,衬底温度和偏电压。
这里所用的与本发明相关的描述,术语“约”表示+/-10%。举例来说,短语“约250℃”表示从225℃到275℃之间的范围。应当进一步认识到图5,7,8中的操作所描述的工艺说明不需要以所示的顺序执行,且这些操作所描述的所有工艺对于实现发明也不是必需的。更进一步地,图5,7,8所描述的工艺也可通过存储在计算机或微处理器控制系统(例如,工艺控制系统)中的RAM,ROM,或硬盘驱动器中的任一个或它们的组合中的软件来执行。
虽然为了清楚理解的目的已经详细说明了本发明,但显然可以在随附的权利要求书范围内进行特定的变化与修改。因此,本实施方案仅作为示例之用而非限制,且本发明不限于本文所给的细节,可以在随附的权利要求书的范围和等效表达内进行修改。

Claims (19)

1.一种平坦化构图的半导体衬底的方法,包括:
接收构图的半导体衬底,具有导电的互连材料填充图案中的若干特征,该导电的互连材料具有覆盖层部分,该覆盖层部分具有局部化的非均匀性;
去除覆盖层部分的主体部分以平坦化该覆盖层部分;
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性;和
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
2.根据权利要求1所述的方法,其中去除覆盖层部分的主体部分包括:
在覆盖层部分上形成附加层;和
平坦化该附加层和覆盖层部分,在平坦化工艺中,附加层基本上完全被去除。
3.根据权利要求1所述的方法,其中去除覆盖层部分的主体部分包括:
通过化学转化覆盖层部分的顶表面和顶部分在覆盖层部分上形成附加层;和
蚀刻附加层和覆盖层部分的至少一部分以基本上平坦化覆盖层部分,附加层基本上完全被去除。
4.根据权利要求4所述的方法,其中的平坦化附加层和覆盖层部分包括重复工艺,该重复工艺包括:
蚀刻附加层;
形成第二附加层;和
蚀刻第二附加层。
5.根据权利要求1所述的方法,其中绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性包括使用涡流传感器绘制基本上局部平坦化的覆盖层部分的形态图。
6.根据权利要求1所述的方法,其中绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性包括原位绘制基本上局部平坦化的覆盖层部分的形态图。
7.根据权利要求1所述的方法,其中蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性包括调整蚀刻方法以补偿全面的非均匀性。
8.根据权利要求1所述的方法,其中蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性包括在不给予若干特征机械应力的情况下基本上消除全面的非均匀性。
9.根据权利要求1所述的方法,其中蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性包括蚀刻以露出形成于构图的特征上的阻挡层。
10.根据权利要求9所述的方法,其中蚀刻对于阻挡层是可选的。
11.根据权利要求9所述的方法,其中蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性包括基本上将若干特征中的导电的互连材料的任何凹陷减至最小。
12.根据权利要求9所述的方法,进一步包括最后蚀刻工艺以基本上去除形成于构图的特征上的阻挡层。
13.根据权利要求12所述的方法,其中最后蚀刻工艺包括掩模材料的去除。
14.根据权利要求1所述的方法,其中导电的互连材料包括铜。
15.根据权利要求1所述的方法,其中导电的互连材料包括元素铜。
16.根据权利要求1所述的方法,其中图案在双重镶嵌工艺中形成于构图的半导体衬底上。
17.一种半导体设备形成方法,包括:
接收构图的半导体衬底,具有导电的互连材料填充图案中的若干特征,该导电的互连材料具有覆盖层部分,该覆盖层部分具有局部化的非均匀性;
去除覆盖层部分的主体部分以平坦化该覆盖层部分;
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性;和
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
18.一种形成双重镶嵌互连结构的方法,包括:
接收双重镶嵌构图的半导体衬底,具有导电的互连材料填充双重镶嵌图案中的若干特征,该导电的互连材料具有覆盖层部分,该覆盖层部分具有局部化的非均匀性;
去除覆盖层部分的主体部分以平坦化该覆盖层部分,包括;
在覆盖层部分上形成附加层;和
平坦化该附加层和覆盖层部分,在平坦化工艺中,附加层基本上完全被去除;
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性;和
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
19.一种形成双重镶嵌互连结构的方法,包括:
接收双重镶嵌构图的半导体衬底,具有导电的互连材料填充双重镶嵌图案中的若干特征,该导电的互连材料具有覆盖层部分,该覆盖层部分具有局部化的非均匀性;
去除覆盖层部分的主体部分以平坦化该覆盖层部分,包括;
在覆盖层部分上形成附加层包括化学转化覆盖层部分的顶表面和顶部分;和
蚀刻附加层和覆盖层部分的至少一部分以基本上平坦化覆盖层部分,附加层基本上完全被去除;
绘制基本上局部平坦化的覆盖层部分的形态图以测定全面的非均匀性;和
蚀刻基本上局部平坦化的覆盖层部分以基本上去除全面的非均匀性。
CN2004800129869A 2003-03-14 2004-03-10 用于改进了的全面双重镶嵌平坦化的系统、方法和设备 Expired - Fee Related CN1788340B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/390,117 2003-03-14
US10/390,117 US6939796B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved global dual-damascene planarization
PCT/US2004/007527 WO2004084266A2 (en) 2003-03-14 2004-03-10 System, method and apparatus for improved global dual-damascene planarization

Publications (2)

Publication Number Publication Date
CN1788340A true CN1788340A (zh) 2006-06-14
CN1788340B CN1788340B (zh) 2011-08-31

Family

ID=33029671

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800129869A Expired - Fee Related CN1788340B (zh) 2003-03-14 2004-03-10 用于改进了的全面双重镶嵌平坦化的系统、方法和设备

Country Status (8)

Country Link
US (1) US6939796B2 (zh)
EP (1) EP1604393A4 (zh)
JP (1) JP4859664B2 (zh)
KR (2) KR101107541B1 (zh)
CN (1) CN1788340B (zh)
IL (1) IL170852A (zh)
TW (1) TWI249786B (zh)
WO (1) WO2004084266A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102412140A (zh) * 2010-09-17 2012-04-11 台湾积体电路制造股份有限公司 半导体平坦化中降低非均匀性
CN105633005A (zh) * 2014-10-30 2016-06-01 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7125803B2 (en) * 2004-04-28 2006-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone mask method for post-CMP elimination of copper overburden
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7709370B2 (en) 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8191237B1 (en) 2009-05-21 2012-06-05 Western Digital (Fremont), Llc Method for providing a structure in a magnetic transducer
US8262919B1 (en) 2010-06-25 2012-09-11 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording pole using multiple chemical mechanical planarizations
US8629063B2 (en) 2011-06-08 2014-01-14 International Business Machines Corporation Forming features on a substrate having varying feature densities

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5198677A (en) * 1991-10-11 1993-03-30 The United States Of America As Represented By The United States Department Of Energy Production of N+ ions from a multicusp ion beam apparatus
US5387315A (en) * 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
JPH07183299A (ja) * 1993-12-22 1995-07-21 Nec Corp 銅配線の形成方法
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
JP3109449B2 (ja) * 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
JPH1167766A (ja) 1997-08-19 1999-03-09 Sony Corp 半導体装置の製造方法
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
KR100259357B1 (ko) * 1998-02-07 2000-06-15 김영환 반도체 소자의 배선형성방법
US5968847A (en) * 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6447668B1 (en) 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
JP2000052243A (ja) * 1998-08-11 2000-02-22 Sony Corp 研磨加工装置
US5953578A (en) * 1998-09-08 1999-09-14 Winbond Electronics Corp. Global planarization method using plasma etching
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
JP2000331991A (ja) * 1999-03-15 2000-11-30 Sony Corp 半導体装置の製造方法
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6350664B1 (en) * 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
SG90747A1 (en) 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
JP2002093761A (ja) * 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6482755B1 (en) * 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
JP3902064B2 (ja) * 2000-11-24 2007-04-04 株式会社荏原製作所 渦電流センサ
JP2004523898A (ja) * 2001-01-23 2004-08-05 ハネウエル・インターナシヨナル・インコーポレーテツド 電子素子のスピンエッチ平坦化のための平坦化材およびその使用方法
US6696358B2 (en) * 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
JP4502168B2 (ja) * 2001-07-06 2010-07-14 ルネサスエレクトロニクス株式会社 化学機械研磨装置および化学機械研磨方法
EP1423868A2 (en) * 2001-08-17 2004-06-02 ACM Research, Inc. Forming a semiconductor structure using a combination of planarizing methods and electropolishing
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
EP1320128B1 (en) 2001-12-17 2006-05-03 AMI Semiconductor Belgium BVBA Method for making interconnect structures
US8586510B2 (en) * 2005-04-15 2013-11-19 Halliburton Energy Services, Inc. Methods and compositions for delaying the release of treatment chemicals

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102412140A (zh) * 2010-09-17 2012-04-11 台湾积体电路制造股份有限公司 半导体平坦化中降低非均匀性
CN105633005A (zh) * 2014-10-30 2016-06-01 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法

Also Published As

Publication number Publication date
CN1788340B (zh) 2011-08-31
EP1604393A2 (en) 2005-12-14
US20040248408A1 (en) 2004-12-09
TWI249786B (en) 2006-02-21
IL170852A (en) 2010-06-30
KR20050107799A (ko) 2005-11-15
WO2004084266A3 (en) 2005-05-06
TW200501260A (en) 2005-01-01
EP1604393A4 (en) 2009-11-11
WO2004084266A2 (en) 2004-09-30
KR20110101222A (ko) 2011-09-15
US6939796B2 (en) 2005-09-06
JP2007533116A (ja) 2007-11-15
KR101107541B1 (ko) 2012-02-08
JP4859664B2 (ja) 2012-01-25
KR101208067B1 (ko) 2012-12-03

Similar Documents

Publication Publication Date Title
US8017516B2 (en) Method for stress free conductor removal
IL170851A (en) System, method and apparatus for improved local dual-damascene planarization
CN1788340A (zh) 用于改进了的全面双重镶嵌平坦化的系统、方法和设备
CN1359536A (zh) 形成金属互连的方法
IL176808A (en) Processing by non-pressure combustion combined with dynamic liquid manichas
CN1529905A (zh) 有机绝缘膜的蚀刻方法和双波纹处理方法
KR102279612B1 (ko) 금속화 패턴 프로파일링을 위한 건식 에칭 방법
CN1231969C (zh) 具有埋入型导电层的半导体器件及其制造方法
CN104701143B (zh) 用于鲁棒金属化剖面的双层硬掩模
CN1236481C (zh) 改善阻障层的覆盖均匀性的方法及具有该阻障层的内连线
CN1901156A (zh) 双镶嵌结构的制造方法
CN111312588A (zh) 以自对准多重图案化对间隔物轮廓进行再成形的方法
KR101149346B1 (ko) 스트레스 없는 버프용 방법 및 시스템
CN1610090A (zh) 可简化制程的双镶嵌制程
CN1242455C (zh) 应用于集成电路的图案化的方法
CN1353455A (zh) 双金属镶嵌结构开口的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110831

Termination date: 20210310

CF01 Termination of patent right due to non-payment of annual fee