CN1713365A - 台架设备及其控制方法 - Google Patents

台架设备及其控制方法 Download PDF

Info

Publication number
CN1713365A
CN1713365A CNA2005100774003A CN200510077400A CN1713365A CN 1713365 A CN1713365 A CN 1713365A CN A2005100774003 A CNA2005100774003 A CN A2005100774003A CN 200510077400 A CN200510077400 A CN 200510077400A CN 1713365 A CN1713365 A CN 1713365A
Authority
CN
China
Prior art keywords
guiding piece
attached
stand arrangement
sliding part
pair
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100774003A
Other languages
English (en)
Other versions
CN100373578C (zh
Inventor
金东亿
崔相轸
吴种汉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1713365A publication Critical patent/CN1713365A/zh
Application granted granted Critical
Publication of CN100373578C publication Critical patent/CN100373578C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q1/00Members which are comprised in the general build-up of a form of machine, particularly relatively large fixed members
    • B23Q1/01Frames, beds, pillars or like members; Arrangement of ways
    • B23Q1/012Portals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q1/00Members which are comprised in the general build-up of a form of machine, particularly relatively large fixed members
    • B23Q1/25Movable or adjustable work or tool supports
    • B23Q1/44Movable or adjustable work or tool supports using particular mechanisms
    • B23Q1/56Movable or adjustable work or tool supports using particular mechanisms with sliding pairs only, the sliding pairs being the first two elements of the mechanism
    • B23Q1/60Movable or adjustable work or tool supports using particular mechanisms with sliding pairs only, the sliding pairs being the first two elements of the mechanism two sliding pairs only, the sliding pairs being the first two elements of the mechanism
    • B23Q1/62Movable or adjustable work or tool supports using particular mechanisms with sliding pairs only, the sliding pairs being the first two elements of the mechanism two sliding pairs only, the sliding pairs being the first two elements of the mechanism with perpendicular axes, e.g. cross-slides
    • B23Q1/621Movable or adjustable work or tool supports using particular mechanisms with sliding pairs only, the sliding pairs being the first two elements of the mechanism two sliding pairs only, the sliding pairs being the first two elements of the mechanism with perpendicular axes, e.g. cross-slides a single sliding pair followed perpendicularly by a single sliding pair
    • B23Q1/626Movable or adjustable work or tool supports using particular mechanisms with sliding pairs only, the sliding pairs being the first two elements of the mechanism two sliding pairs only, the sliding pairs being the first two elements of the mechanism with perpendicular axes, e.g. cross-slides a single sliding pair followed perpendicularly by a single sliding pair followed perpendicularly by a single sliding pair
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q2210/00Machine tools incorporating a specific component
    • B23Q2210/006Curved guiding rails

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Machine Tool Units (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Details Of Measuring And Other Instruments (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Labeling Devices (AREA)

Abstract

一种台架设备包括:一对第一引导件,相互平行地放置;一对滑动件,分别结合到所述一对第一引导件上以与该第一引导件一起移动;第二引导件,结合到所述一对滑动件上沿着该滑动件移动;头部,结合到所述第二引导件上以便和所述第二引导件一起移动并沿着该第二引导件移动;转动单元,设置在所述滑动件和所述第二引导件之间并绕着轴线转动所述第二引导件,所述轴线与所述滑动件和所述头部的移动方向垂直。所述台架设备能够在不同的方向调整所述头部的运动。

Description

台架设备及其控制方法
本申请要求在2004年6月25日提交到韩国知识产权局的韩国专利申请第2004-0048117号的利益,其公开内容通过参考被包含于此。
                       技术领域
本发明总的概念涉及台架设备,更具体地,涉及在其头部的移动结构中有所改进的台架设备。
                       背景技术
一般地,台架设备在对半导体晶片或用于液晶显示器(LCD)的玻璃进行扫描处理或沉积处理中使用。
这种传统的台架设备包括头部和传送装置单元,头部包括扫描用于LCD的玻璃的扫描器,而传送装置单元将头部沿着X轴和Y轴传送。
用这一结构,传统的台架设备将头部传送到X轴和Y轴测试用于LCD的玻璃。更进一步,用于LCD的玻璃或半导体晶片具有标记在其上的对齐标记,因此扫描过程参考于此进行操作。
然而,传统的台架设备在对齐上有难度,因为传统的台架设备不包括头部错位时将头部的运动和对齐标记实现对齐的单独的装置。
因此,有必要研究一种能够在不同的方向调整头部的运动的新的台架设备。
                    发明内容
因此,本发明总的概念的一个方面是提供一种能够在不同的方向调整头部运动的台架设备。
下面将在描述中部分地阐述本方明的其他方面和优点,通过描述,在某种程度上,本发明总的概念的其他方面和优点将是显而易见的,或者可以通过对本发明总的概念的实践进行学习。
通过提供台架设备可以实现本发明总的概念的上述和/或其它方面和优点,所述台架设备包括:彼此平行放置的一对第一引导件;分别结合到所述一对第一引导件上,能够沿着所述第一引导件移动的一对滑动件;结合到所述一对滑动件上,能够沿着该滑动件移动的第二引导件;结合到所述第二引导件上,能够和所述第二引导件一起运动并沿着所述第二引导件移动的头部;和在所述滑动件与所述第二引导件之间设置的转动单元,该转动单元用于使所述第二引导件绕着相对于所述滑动件和所述头部的移动方向都垂直的直线转动。
根据本发明总的概念的一个方面,所述转动单元可以包括驱动部分和驱动接触部分,所述驱动部分设置在所述滑动件和所述第二滑动件中的一个上,而所述驱动接触部分结合到所述滑动件和所述第二滑动件中的另一个上,用于当其接触所述驱动部分而被驱动时转动所述第二引导件。
根据本发明总的概念的另一个方面,所述驱动部分可以包括驱动马达和由于驱动马达而转动的转动器,所述驱动接触部分与所述转动器接触。
根据本发明总的概念的另一个方面,所述转动器可以包括小齿轮,所述驱动接触部分可以包括与所述小齿轮啮合的弧形齿条。
根据本发明总的概念的另一个方面,所述转动器可以包括滚子,所述驱动接触部分可以包括与所述滚子啮合的弧形滚子导向件。
根据本发明总的概念的另一个方面,所述转动单元进一步包括铰链,所述铰链可转动地将所述第二引导件的一侧结合到所述滑动件上。
根据本发明总的概念的另一个方面,所述转动单元可以包括:驱动马达,设置在所述第二引导件的第一侧和结合到所述第二引导件的第一侧上的所述滑动件之间,用于转动所述第二引导件;和弧形导向件,设置在所述第二引导件的第二侧和结合到所述第二引导件的第二侧的所述滑动件中的一个上,所述弧形导向件可滑动地结合到上述两者中的另一个上。
根据本发明总的概念的另一个方面,所述导向件可以设置在结合到所述第二引导件的第二侧上的所述滑动件上,并可滑动地支撑所述第二引导件的第二侧。
根据本发明总的概念的另一个方面,所述第二引导件的第二侧设置有通过所述导向件引导的引导突起。
                       附图说明
通过下面结合附图进行的详细描述,将使本发明总的概念的上述和/或其他方面和优点将会变得更加清楚并更加易于理解,其中:
图1是根据本发明总的概念实施例的台架设备的透视图;
图2是图1中的台架设备的分解透视图;
图3是图1中的台架设备的第一引导件和头部的操作的透视图;
图4是图1中的台架设备的第二引导件的操作的透视图;
图5是根据本发明总的概念另一个实施例的台架设备的透视图;
图6是图5中的台架设备的分解透视图;
图7是图5中的台架设备的第二引导件的操作的透视图。
                         具体实施方式
下面将详细讨论本发明总的概念的实施例。在附图中示出了本发明总的概念的例子,其中同一参考标号始终指同一元件。为解释本发明总的概念,下面通过参考附图描述实施例。
如图1到图4所示,根据本发明总的概念实施例的台架设备1可以包括:一对第一引导件10,彼此平行放置;一对滑动件20,分别结合到所述一对第一引导件10上以沿着该对第一引导件10移动;第二引导件30,结合到所述一对滑动件20上,能够和该对滑动件20一起移动;头部40,结合到第二引导件30上,能够和第二引导件30一起运动,以及能够沿着第二引导件30移动;转动单元50,设置在一对滑动件20中的一个和第二引导件30之间,使第二引导件30绕着轴线65转动,所述轴线65相对于一对滑动件20的移动方向和头部的滑动方向是垂直的。更进一步,根据本发明总的概念的实施例的台架设备可以包括工作台8,所述工作台8设置在所述一对第一引导件10之间以在上面安装如半导体晶片或LCD的玻璃这样的产品5。
工作台8可以是平面型的,以在其上安装产品5。工作台8可以以能够安装和传送产品5的传送带的形式设置。
产品5可以包括将要通过扫描处理或沉积处理测试的半导体晶片或LCD的玻璃。然而,产品5可以进行各种改变,这取决于头部40上设置的扫描器或沉积单元。产品5能够标有用于扫描过程或沉积过程的多个对齐标记6,所述的过程能够通过参考多个对齐标记6进行操作。
一对第一引导件10对应于一对滑动件20,而工作台8能够设置在一对第一引导件10之间。然而,第一引导件10可以以单个或多于3个的数目设置来支撑滑动件20。一对第一引导件10能够平行地放置并能够引导一对滑动件20在同样的方向上移动。
在第一引导件中,可以设置对应于滑动件20的第一突起部分21的第一容纳槽11。
第一容纳槽11可以是第一引导件10的上表面上的槽以在其中容纳第一突起部分21,由此精确地引导滑动件20。不过,第一容纳槽11也可以形成于滑动件20中,而第一突起部分21可以形成于第一引导件10的上表面以容纳于第一容纳槽中。第一容纳槽11可以以如三角形截面形成,但是其也可以以如矩形、半圆形等多种图形的截面形状形成。
滑动件20对应于一对第一引导装置10成对地设置。然而,滑动件20可以以单个或三个以上的数目设置,这取决于第一引导件10的数目,以便使滑动件20在第一引导件上滑动。一对滑动件20可以由一对第一引导件10引导以使其沿着第一引导件10的长度方向运动并和所述第一引导件10一起移动。在滑动件20中,可以设置对应于第一引导件10的第一容纳槽11的第一突起部分21。滑动件20可以借助驱动单元(未示出)沿着第一引导件10移动。驱动单元可以包括线性马达或滚珠丝杠和转动滚珠丝杠的马达,所述线性马达从线性对齐的一般马达产生线性运动,所述滚珠丝杠结合到滑动件20和第一引导件10上以在第一引导件10上滑动移动滑动件20。
第一突起部分可以从滑动件20的底表面突出,以被容纳于第一引导件10的第一容纳槽中,因而由第一引导件10所引导。第一突出部分21可以按照截面形状为如三角形形成,但是其也可以按照截面形状为如矩形、半圆形等各种图形形成。
第二引导件30能够结合到一对滑动件20上以与一对滑动件20一起在第一引导件10上滑动移动。第二引导件30形状可以如长矩形柱,但是其可以按照截面形状为如矩形、半圆形等各种形状形成。第二引导件30的第一侧30a和第二侧30b能够分别结合到所述一对滑动件20上。第二引导件30的第一侧30a能够通过转动单元50(稍后描述)的铰链61可转动地结合到第一滑动件20a的上部。第二引导件30的第二侧30b能够结合到第二滑动件20b的上部并变为通过转动单元50的驱动单元51和驱动接触部分58(稍后描述)绕着铰链61是可转动的。第二引导件30支撑着头部40,使其沿着第二引导件30的长度方向被引导。在第二引导件30中设置有对应于头部40的第二突起部分41(稍后描述)的第二容纳槽31。
第二容纳槽31可以在第二引导件的一侧开槽,以在其中容纳头部40的第二突起部分41。第二容纳槽31的样子与第一引导件的第一容纳槽一样,因此省略对其描述。然而,第二容纳槽31可以形成于头部40中,而第二突出部分41可以形成于第二引导件中,以被容纳于第二引导件31中。
头部40能够结合到第二引导件30中,以使其沿着第二引导件30的长度方向是可移动的。在头部40中,可以设置有对应于第二容纳槽的第二突起部分41。象滑动件20一样,头部40可以通过驱动单元50(未示出)沿着第二引导件30移动。这一驱动单元可以包括线性马达,或者,滚珠丝杠和转动滚珠丝杠的马达,所述线性马达从线性对齐的一般马达中产生直线运动,而所述滚珠丝杠结合到头部40和第二引导件30上,以使头部40沿着第二引导件30滑动移动。头部40可以包括用于对所述产品5进行扫描处理或沉积处理的扫描器或沉积单元。头部40能够探测出标记在产品5上的多个对齐标记并由此检查是否所述头部40正确地与产品5上的多个对齐标记6对齐。通过在第一引导件10的长度方向上或者在第二引导件20的长度方向上移动头部40,能够匹配头部40与所述产品5上的多个对齐标记6对齐。之后,如果头部40从多个对齐标记6上错位了一定的角度,那么转动单元50能够被驱动以使第二引导件30转动一定角度,由此使头部40和产品5的多个对齐标记6对齐。
第二突起部分41可以从头部40的一侧突出,使之被容纳于第二引导件30的第二容纳槽之中同时由第二引导件30所引导。第二突起部分41可以按照截面形状为如三角形形成,但是其也可以按照截面形状为矩形、半圆形等各种形状形成。
转动单元50可以包括驱动单元51和驱动接触部分58,所述驱动单元51设置在滑动件20和第二引导件中的一个中,而驱动接触部分58设置在上述两者中的另一个中,当其被驱动时接触所述驱动单元51以驱动第二引导件30,从而转动第二引导件30。转动单元50可以进一步包括可转动地连接第二引导件30的第一侧30a和滑动件20的铰链61。换言之,转动单元50的铰链61可以设置在第二引导件30的第一侧30a和第一滑动件20a之间,而转动单元50的驱动单元51和驱动接触部分58可以设置在第二引导件30的第二侧和第二滑动件20b之间。
在本发明总的概念的实施例中,驱动单元51可以设置在第二滑动件20b中,但是其也可以设置在第二引导件30的第二侧30b中。驱动单元51可以包括转动器55和转动所述转动器55的驱动马达53。
驱动马达53能够产生转动力,并可以通过结合器件如螺栓(未示出)结合到第二滑动件20b上,因此与第二滑动件20b一体地移动。然而,所述驱动马达53可以结合到第二引导件30的第二侧30b上。
转动器55可以通过转动轴54结合到驱动马达53上,以根据驱动马达53的转动力转动。转动器55可以设置为与驱动接触部分58接合的小齿轮,但是其也可以设置为与驱动接触部分58接触同时在驱动接触部分上滚动的滚子。
驱动接触部分58能够接触转动器55,并可以通过结合器件,如螺栓(未示出),结合到第二引导件30的第二侧上。然而,驱动接触部分58也可以与驱动单元51一致地结合到所述滑动件20b上。驱动接触部分58能够与转动器55的小齿轮接合,并可以包括弧形齿条。或者,驱动接触部分58可以设置为弧形滚子导向件以与转动器55接触同时使转动器55在该滚子导向件上滚动。这里,如果设置在第二引导件20b上的驱动马达53转动所述转动器55,则结合到第二引导件30的第二侧30b的驱动接触部分58移动而使第二引导件30绕着轴线65转动。
铰链61能够设置在第二引导件30的第一侧30a和第一滑动件20a之间,并能够可转动地支撑第二引导件30。铰链61可以包括铰链销孔63,该铰链销孔63设置在第二引导件30的第一例30a上以在其中容纳铰链销62。
铰链销62可以设置在第一滑动件20a上,或者设置在第二引导件30的第一侧30a上。相对于滑动件20的移动方向和头部40的移动方向,铰链销62的轴线可以与轴线65是一样的。滑动件20的滑动方向和头部40的移动方向可以垂直也可以不垂直。更进一步,铰链62的轴线65可以与或可以不与滑动件20的移动方向和头部40的移动方向垂直。
铰链销孔63可以设置在第二引导件30的第一侧30a上,但是它也可以根据铰链销设置在第一滑动件20a上。更进一步,铰链销孔63相对于铰链销是可转动的,但是它可以克服摩擦力转动。
采用这一结构,参考图3和图4,根据本发明总的概念的实施例的台架设备操作如下。
首先,一对滑动件20a和20b能够沿着第一引导件10的长度方向移动,而头部在驱动单元(未示出)的作用下能够沿着第二引导件30的长度方向移动。在头部沿着第一引导件10的长度方向和第二引导件30的长度方向运动的过程中,检查头部40是否正确地与产品5上的对齐标记6对齐。如果头部与对齐标记6错位一定的角度,则能够用转动单元50使第二引导件30转动一定的角度使转动头部40和多个对齐标记6正确地对齐。换言之,驱动转动单元50的驱动马达53转动转动器55进而使驱动接触单元与第二引导件30和头部一体地绕着铰链销62转动。
因此,根据本发明总的概念的一个方面的台架设备1,通过使头部40在第一引导件10和第二引导件30的长度方向上是可移动的并且绕着第二引导件30的第一侧30a是可转动的,从而能够在不同的方向调整头部40的运动。更进一步,头部能够简单地与产品5上的对齐标记对齐,因为头部40能够在不同的方向上移动。
如图5至图7所示,根据本发明总的概念的另一个实施例的台架设备101的转动单元150可以包括驱动马达161,所述的驱动马达161设置在第二引导件30的第一侧30a和第一滑动件20a之间并转动第二引导件30,因而第二实施例不同于第一实施例。转动单元150能够设置在第二引导件30的第二侧30b和第二滑动件20b中的一个上,并可以进一步包括弧形导向件151,其滑动地结合在第二引导件30的第二侧30b和第二滑动件20b中的另一个上。
驱动马达161可以结合到第二引导件30的第一侧30a和结合到该第一侧30a的第一滑动件20a中的一个上以转动第二引导件30。驱动马达161能够结合到第一滑动件20a上,并能够与第一滑动件20a一起移动。更进一步,在第二引导件30的第一侧30a上可以设置转动轴结合部分163,驱动马达161的转动轴162一体地结合到转动轴结合部分163上以使之和转动轴162一体地转动。然而,驱动马达161可以设置在第二引导件30的第一例30a上,而转动轴结合部分163可以设置在第一滑动件20a上。
导向件151可以设置在第二滑动件20b上并结合到第二引导件30的第二侧30b上,以使其滑动地支撑第二引导件30的第二侧30b。导向件151可以通过结合器件如螺栓(未示出)结合到第二滑动件20b上。在导向件151中可以设置容纳引导突起155(稍后描述)的弧形引导槽153,所述引导突起155设置在第二引导件30的第二侧30b上。
引导突起155可以设置在第二引导件30的第二侧30b中,由导向件151引导。换言之,引导突起155与结合到第二滑动件20b上的导向件151的引导槽153接合并由导向件151所引导。在引导突起155中,可以相对于导向件151设置滚动接触滚子(未示出)。
采用这一结构,根据本发明总的概念的这一实施例的台架设备101操作如下。
首先,如图1和图2所示的本发明总的概念的以前的实施例中所描述的,头部40沿着第一引导件10的长度方向和第二引导件30的长度方向移动以检查是否头部40与产品5上的对齐标记6正确地对齐。更进一步,如果头部40与对齐标记6错位一定的角度,那么能够使用转动单元150通过将第二引导件30转动一定的角度而使头部40和多个对齐标记6正确地对齐。换言之,驱动转动单元150的驱动马达161使第二引导件30和头部40绕着驱动马达161的转动轴162转动。
因此,根据本发明总的概念的这一实施例的台架设备,通过使头部40在第一引导件10和第二引导件30的长度方向上是可移动的并且绕着第二引导件30的第一侧30a是可转动的,从而能够在不同的方向调整头部40的运动。更进一步,头部40能够与产品5上的对齐标记6简单地对齐,因为头部40能够在所述的不同方向上移动。
尽管已经表示和描述了根据总的本发明概念的实施例,但是本发明总的概念不应该被局限于所述的实施例。相反,本领域技术人员应当理解,在不脱离本发明总的概念的原理和精神和权利要求及其等同物所限定的范围的情况下,可以对实施例做出变化。

Claims (28)

1、一种台架设备,包括:
一对第一引导件,相互平行地放置;
一对滑动件,分别结合到所述一对第一引导件上以沿着所述第一引导件移动;
第二引导件,结合到所述一对滑动件上以与所述滑动件一起移动;
头部,结合到所述第二引导件上,能够与所述第二引导件一起移动,并能够沿着所述第二引导件移动;
转动单元,设置在所述滑动件和所述第二引导件之间以控制所述第二引导件绕着垂直于所述滑动件和头部的移动方向的线转动。
2、如权利要求1所述的台架设备,其中,所述转动单元包括驱动单元和驱动接触部分,所述驱动单元设置在所述一对滑动件中的一个和所述第二引导件两者中的一个中,而所述驱动接触单元结合到上述两者中的另一个上,在被驱动接触所述驱动部分的同时控制所述第二引导件转动。
3、如权利要求2所述的台架设备,其中,所述驱动单元包括转动器、转动所述转动器的驱动单元,所述驱动接触部分与所述转动器接触。
4、如权利要求3所述的台架设备,其中,所述转动器包括小齿轮,而所述驱动接触部分包括与所述小齿轮啮合的弧形齿条。
5、如权利要求2所述的台架设备,其中,所述转动单元进一步包括铰链,所述铰链可转动地将所述第二引导件的一侧结合到所述一对滑动件的所述一个上。
6、如权利要求3所述的台架设备,其中,所述转动器包括滚子,而所述驱动接触部分包括与所述滚子接合的弧形滚子导向件。
7、如权利要求1所述的台架设备,其中,所述第二引导件包括第一侧和第二侧,而所述转动单元包括:
驱动马达,设置在所述第二引导件的第一侧和结合到所述第二引导件的第一侧上的所述滑动件之间以转动所述第二引导件;
弧形导向件,设置在所述第二引导件的第二侧和结合到所述第二引导件的第二侧上的滑动件两者之中的一个中,以被结合到上述两者中的另一个上。
8、如权利要求7所述的台架设备,其中,所述导向件设置在结合到所述第二引导件的第二侧上的所述滑动件上,以滑动地支撑所述导向件的第二侧。
9、如权利要求8所述的台架设备,其中,引导突起形成于所述第二引导件的第二侧以被所述导向件所引导。
10、如权利要求1所述的台架设备,进一步包括在所述一对第一引导件之间的工作台以在其上安置产品。
11、如权利要求10所述的台架设备,其中,所述产品包括半导体和用于液晶显示器的玻璃中的一个。
12、如权利要求1所述的台架设备,其中,每个滑动件包括突起部分,每个第一引导件包括容纳槽,该容纳槽容纳所述突起部分并引导所述滑动件中每个对应的滑动件。
13、如权利要求1所述的台架设备,其中,所述头部包括突起部分,而第二引导件包括容纳所述突起部分并引导所述头部的容纳槽。
14、如权利要求1所述的台架设备,其中,所述头部包括扫描器和沉积单元中的至少一个。
15、一种台架设备,包括:
一对第一引导件,相互平行地放置;
一对滑动件,分别结合到所述一对第一引导件上以在第一方向沿着所述第一引导件移动;
第二引导件,结合到所述一对滑动件上以与所述一对滑动件一起在所述第一方向上移动;
头部,结合到所述第二引导件上以与所述第二引导件一起在所述第一方向上移动,并可移动地放置在所述第二引导件上以在第二方向上沿着所述第二引导件移动;
转动单元,放置在所述第二引导件和所述滑动件之间以在第三方向上移动所述第二引导件和所述头部。
16、如权利要求15所述的台架设备,其中,所述第一和第二方向是直线方向,所述第三方向是曲线方向。
17、如权利要求16所述的台架设备,其中,所述第三方向是绕着与所述第一方向和所述第二方向垂直的线的曲线方向。
18、如权利要求15所述的台架设备,其中,所述转动单元包括驱动单元和驱动接触部分,所述驱动部分设置在所述一对滑动件中的一个和所述第二引导件两者中的一个上,而所述驱动接触部分结合到上述两者中的另一个上,在被驱动接触所述驱动部分的同时控制所述第二引导件转动。
19、如权利要求18所述的台架设备,其中,所述驱动单元包括转动器和转动所述转动器的驱动马达,所述驱动接触部分与所述转动器接触。
20、如权利要求19所述的台架设备,其中,所述转动器包括小齿轮,驱动接触部分包括与所述小齿轮相啮合的弧形齿条。
21、如权利要求19所述的台架设备,其中,所述转动器包括滚子,而驱动接触部分包括弧形滚子导向件以与所述滚子相接合。
22、如权利要求19所述的台架设备,其中,所述转动单元进一步包括铰链,所述铰链将所述第二引导件的一侧结合到所述一对滑动件的一个上。
23、如权利要求15所述的台架设备,其中,所述第二引导件包括第一侧和第二侧,所述转动单元包括:
驱动马达,设置在所述第二引导件的第一侧和结合到所述第二引导件的第一侧上的所述滑动件之间,以转动所述第二引导件;
弧形导向件,设置在所述第二引导件的第二侧和结合到所述第二引导件的第二侧上的所述滑动件两者中的一个中,并被结合到上述两者中的另一个上。
24、如权利要求23所述的台架设备,其中,所述导向件设置在结合到所述第二引导件的第二侧上的所述滑动件中,以可滑动地支撑所述导向件的第二侧。
25、如权利要求24所述的台架设备,其中,引导突起形成于所述第二引导件的第二侧上以由所述导向件所引导。
26、一种对齐台架设备的头部的方法,该方法包括:
通过沿着一对第一引导件移动分别结合到该一对第一引导件上的一对滑动件,将头部沿着盖一对第一引导件的长度方向移动;
通过沿着第二引导件移动结合到所述第二引导件上的头部,将所述头部沿着结合到所述一对滑动件上的所述第二引导件的长度方向移动;
绕着与所述滑动件和所述头部的移动方向垂直的线转动所述第二引导件,以使所述头部正确地对齐产品上的多个对齐标记。
27、如权利要求26所述的方法,其中,转动所述第二引导件包括:
控制结合在所述滑动件中的一个上的驱动马达,以转动连接到所述驱动马达上的转动器;
驱动接触所述转动器并结合到所述第二引导件的第二侧的驱动接触部分;
使所述第二引导件绕着铰链销转动,所述铰链销可转动地将所述第二引导件的第一侧和相应的所述滑动件结合在一起。
28、如权利要求26所述的方法,其中,转动所述第二引导件包括:
控制驱动马达以转动所述第二引导件,该驱动马达设置在所述第二引导件的第一侧和结合到所述第二引导件的第一侧上的滑动件之间;
采用弧形导向件引导所述第二引导件,所述弧形导向件设置在所述第二引导件的第二侧和结合到所述第二引导件的第二侧上的滑动件两者中的一个中,并能够滑动地结合到上述两者中的另一个上。
CNB2005100774003A 2004-06-25 2005-06-23 台架设备及其控制方法 Expired - Fee Related CN100373578C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040048117 2004-06-25
KR1020040048117A KR100573771B1 (ko) 2004-06-25 2004-06-25 갠트리장치

Publications (2)

Publication Number Publication Date
CN1713365A true CN1713365A (zh) 2005-12-28
CN100373578C CN100373578C (zh) 2008-03-05

Family

ID=36111798

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100774003A Expired - Fee Related CN100373578C (zh) 2004-06-25 2005-06-23 台架设备及其控制方法

Country Status (4)

Country Link
US (1) US20060105555A1 (zh)
JP (1) JP4185071B2 (zh)
KR (1) KR100573771B1 (zh)
CN (1) CN100373578C (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100395599C (zh) * 2005-12-30 2008-06-18 长城信息产业股份有限公司 液晶显示器检测仪
CN101505914B (zh) * 2006-08-31 2010-10-13 武藏工业株式会社 可变形的门型作业装置
CN101377574B (zh) * 2007-08-31 2011-05-04 北京京东方光电科技有限公司 液晶面板检测设备
CN101813835B (zh) * 2009-02-20 2012-05-30 北京京东方光电科技有限公司 液晶面板检测装置和液晶面板检测方法
CN106646947A (zh) * 2016-12-23 2017-05-10 武汉精测电子技术股份有限公司 一种FOG液晶屏幕Gammatuning测试治具
CN114585478A (zh) * 2019-10-31 2022-06-03 奥斯瓦尔德股份公司 处理系统

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006287098A (ja) * 2005-04-04 2006-10-19 Nsk Ltd 位置決め装置
KR101338577B1 (ko) * 2006-12-27 2013-12-06 주식회사 케이씨텍 약액 도포 장치
KR100896078B1 (ko) * 2007-06-18 2009-05-07 조경덕 고중량 헤드 이송장치
JP2010058174A (ja) * 2008-09-01 2010-03-18 Hiwin Mikrosystem Corp ダブルドライバー同期移動位置決めプレートフォーム用相対位置誤差吸収手段
KR101024319B1 (ko) * 2008-09-30 2011-03-23 에이피시스템 주식회사 겐트리 장치 및 겐트리 장치의 틸트 보정 방법과 이를 이용한 기판처리장치
JP5621552B2 (ja) * 2010-11-29 2014-11-12 日本精工株式会社 二次元位置決め装置
CN103219268A (zh) * 2013-04-28 2013-07-24 苏州工业园区高登威科技有限公司 移动系统
CN104006711B (zh) * 2014-05-23 2017-01-11 苏州创丰精密五金有限公司 一种半圆测试装置
CN109564878B (zh) * 2016-08-05 2023-05-16 韩华精密机械株式会社 接合系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US82774A (en) * 1868-10-06 Andrew j
US3438516A (en) * 1966-12-29 1969-04-15 Paul Bastide Cranes,derricks and like cargo handling installations of ships
US4255643A (en) * 1979-03-28 1981-03-10 C-R-O, Inc. Programmed welding machine with continuously monitored override control
NL8204450A (nl) * 1982-11-17 1984-06-18 Philips Nv Verplaatsingsinrichting, in het bijzonder voor het stralingslithografisch behandelen van een substraat.
US4973819A (en) * 1989-09-26 1990-11-27 Mcdonnell Douglas Corporation Gantry with a laser mounted numerically controlled carriage
JPH05187846A (ja) * 1992-01-10 1993-07-27 Advantest Corp 半導体集積装置リードの検査装置
DE60032568T2 (de) * 1999-12-01 2007-10-04 Asml Netherlands B.V. Positionierungsapparat und damit versehener lithographischer Apparat
US6374996B1 (en) * 2000-07-03 2002-04-23 Tsung-Chang Hsieh Circuit board carrier
ES2263432T3 (es) * 2000-12-21 2006-12-16 Agie Sa Maquina-herramienta, en particular maquina para mecanizacion por electroerosion, y conjunto de construccion modular.
TW521677U (en) * 2001-10-18 2003-02-21 Ind Tech Res Inst Gantry type hybrid parallel linkage 5-axis machine tool
JP2003178677A (ja) * 2001-12-10 2003-06-27 Tatsumo Kk プラズマディスプレイパネルの蛍光体充填装置
KR20030094560A (ko) * 2002-06-04 2003-12-18 참엔지니어링(주) 평면디스플레이 리페어장치

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100395599C (zh) * 2005-12-30 2008-06-18 长城信息产业股份有限公司 液晶显示器检测仪
CN101505914B (zh) * 2006-08-31 2010-10-13 武藏工业株式会社 可变形的门型作业装置
TWI395632B (zh) * 2006-08-31 2013-05-11 Musashi Engineering Inc Deformable door type operating device
CN101377574B (zh) * 2007-08-31 2011-05-04 北京京东方光电科技有限公司 液晶面板检测设备
CN101813835B (zh) * 2009-02-20 2012-05-30 北京京东方光电科技有限公司 液晶面板检测装置和液晶面板检测方法
CN106646947A (zh) * 2016-12-23 2017-05-10 武汉精测电子技术股份有限公司 一种FOG液晶屏幕Gammatuning测试治具
CN114585478A (zh) * 2019-10-31 2022-06-03 奥斯瓦尔德股份公司 处理系统

Also Published As

Publication number Publication date
JP4185071B2 (ja) 2008-11-19
KR20050123453A (ko) 2005-12-29
US20060105555A1 (en) 2006-05-18
JP2006065296A (ja) 2006-03-09
KR100573771B1 (ko) 2006-04-25
CN100373578C (zh) 2008-03-05

Similar Documents

Publication Publication Date Title
CN1713365A (zh) 台架设备及其控制方法
CN1787199A (zh) 用于测试半导体器件的处理机的传送器
CN1240500C (zh) 冲孔装置及工件加工方法
CN2855326Y (zh) 血涂片制备装置
CN1876328A (zh) 夹具装置
EP1925584A2 (en) Overhead traveling vehicle having lateral movement mechanism
CN203275819U (zh) 一种基板承载装置
CN2863382Y (zh) 移动定位装置及由其组成的三维直角坐标移动定位装置
US9193083B2 (en) Handling mechanism and punching machine using the same
CN2757113Y (zh) 轮胎检测装置
CN1809777A (zh) 用于自动扫描显微镜的载物片供给设备
CN114180308A (zh) 一种翻转承接装置及翻转传输方法
CN109129153B (zh) 一种可倾斜的治具机构
KR100750052B1 (ko) 점등 검사장치
CN211086851U (zh) 一种液晶屏幕自动对位压接点灯装置
CN1680772A (zh) 滑动装置及测量机
CN1591024A (zh) 电连接装置
CN216686293U (zh) 一种翻转承接装置
CN115470807A (zh) 一种物料信息码绑定装置
CN1137799C (zh) 摩擦压焊装置
CN1677092A (zh) 平面显示屏检查装置
CN1532534A (zh) 大型基板载物台
KR20150116354A (ko) 라미네이팅 장치
CN216884330U (zh) 一种高精度板材打孔装置
CN1241713C (zh) 保持器的错位防止装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080305

Termination date: 20140623

EXPY Termination of patent right or utility model