CN1539165A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN1539165A
CN1539165A CNA028155467A CN02815546A CN1539165A CN 1539165 A CN1539165 A CN 1539165A CN A028155467 A CNA028155467 A CN A028155467A CN 02815546 A CN02815546 A CN 02815546A CN 1539165 A CN1539165 A CN 1539165A
Authority
CN
China
Prior art keywords
insulating barrier
barrier
semiconductor device
film
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028155467A
Other languages
English (en)
Other versions
CN100365796C (zh
Inventor
�崨����
村川惠美
松下实
尾﨑成则
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1539165A publication Critical patent/CN1539165A/zh
Application granted granted Critical
Publication of CN100365796C publication Critical patent/CN100365796C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

通过蚀刻,形成穿过由多孔硅氧化膜构成的第二绝缘层(13)和第三绝缘层(14)而成为连接孔(21)的一部分的孔。另外,采用第二阻挡膜(20),通过蚀刻,在第三绝缘层(14)上形成第二槽(23)。另外,在连接孔(21)和第二槽(23)的侧壁上,采用RLSA型的等离子体处理装置,进行硅氧化膜的直接氮化处理,形成由SiN膜构成的屏障层(25)。在这里,第二阻挡膜(20)也通过与屏障层(25)相同的直接氮化处理而形成。

Description

半导体装置及其制造方法
技术领域
本发明涉及可靠性高的半导体装置及其制造方法。
背景技术
为了提高大规模集成电路(LSI)的性能,必须进一步提高信号处理的速度。信号处理的速度提高可通过对电路进行细微处理,减小布线的信号延迟而实现。近年,细微处理进展,LSI的设计标准达到0.1微米,在这样的LSI的场合,布线延迟的降低是特别重要的。
为了减小上述的布线延迟,布线电阻的减小是有效的措施。为了减小布线电阻,代替在过去一般使用的铝,而可采用电迁移耐性优良、低电阻的铜。在采用铜布线的场合,由于难于进行过去的蚀刻工艺的加工,故作为不对铜进行蚀刻,实现多层布线的方法,采用所谓的双镶嵌法。
下面参照图7(a)~(d),对采用双镶嵌法制造具有多个布线层的半导体装置201的工序进行描述。首先,在埋入有布线层202的,由氧化硅等形成的第一绝缘层203上,形成由氮化硅等形成的罩层204。布线层202由通过铜形成的导体层205,与屏障层206构成,该屏障层206包围导体层205,由氮化钽等形成。接着,在罩层204上,形成由氧化硅等构成的第二绝缘层207。此外,在第二绝缘层207上,形成由氮化硅等构成的阻挡膜208,在其上,叠置由氧化硅等形成的第三绝缘层209。由此,获得图7(a)所示的那样的结果物。
接着,象图7(b)所示的那样,在第三绝缘层209上,形成抗蚀图案210,通过蚀刻,形成以导体层205为底的孔211。此时,蚀刻在第二和第三绝缘膜207、209、阻挡膜208、与罩层204均受到蚀刻的条件下进行。在蚀刻处理后,通过灰化处理等方式,将抗蚀图案210去除。
然后,象图7(c)所示的那样,在第三绝缘膜209上,形成抗蚀图案212,通过蚀刻,在第三绝缘膜209上,形成与孔211重合,并且以阻挡膜208为底的布线槽213。由此,形成布线槽213和将布线槽213和布线层202连接的连接孔214。在这里,蚀刻在对第三绝缘膜蚀刻,但是不对阻挡膜208蚀刻的条件下进行。由此,在阻挡膜208处,蚀刻处理受到阻止。在蚀刻处理后,通过灰化处理等的方式,去除抗蚀图案212。
之后,通过CVD等方式,在布线槽213和连接孔214的内壁,形成由氮化钽等形成的屏障层215。另外,通过电镀法,埋入于布线槽213和连接孔214的内部,然后,通过CMP,去除多余的铜。通过以上的工序,形成图7(d)所示的那样的,填塞层216与导体层217,该导体层217通过填塞层216,与导体层205连接。
在通过上述双镶嵌法形成的半导体装置201中,设置屏障层215,以便防止作为布线材料的铜的扩散。由于铜的扩散性高,容易使半导体的特性变差,故屏障层215对于由铜形成的布线层的形成是不可缺少的。特别是,近年来,由于因介电常数低,特别适合的多孔膜的内部具有多个孔,故铜原子容易侵入(扩散)。
屏障层215一般由氮化钛、氮化钽等的金属材料形成,其为单独层、或按照叠置方式构成,通过CVD等方式形成。但是,如果伴随尺寸细微化的进展,对图7(c)所示的那样的连接孔214的尺寸进行进一步的细微化处理,则难于在连接孔214的整个内壁上,形成可靠性较高的屏障层215。
即,比如,如果连接孔214非常微小,则以物理方式妨碍屏障层215的前驱体分子(有机金属等)朝向连接孔214的下方的侵入,连接孔214的下方的形成膜的速度慢于上方。由此,在连接孔214的下方,不形成足够的厚度的屏障层215,屏障性降低。另外,如果屏障层215的厚度不均匀,则在后续的铜的埋入的工序中,容易产生空隙。
此时,在层间绝缘膜207、209采用多孔绝缘膜的场合,在通过CVD方式形成屏障层215时,前驱体分子侵入绝缘膜内的空孔内。它们是在蚀刻时,与蚀刻气体发生反应等的,绝缘膜性能变差的原因。
另外,伴随尺寸的微小化,屏障层215本身的厚度也减小,相对于布线槽213和连接孔214的表面的贴合性降低。由此,屏障层215容易发生剥离,或屏障层215,进而半导体装置201的可靠性降低。象这样,难于在高度微小的(高宽比较高)槽,或孔的内壁,形成可靠性较高的屏障层。
另一方面,象上述那样,在双镶嵌法的场合,采用蚀刻的阻挡膜208。象图7(d)所示的那样,阻挡膜208形成布线槽213的底部。伴随该尺寸的微小化的进行,同样对于阻挡膜208,还要求薄膜化。于是,与上述屏障层215相同,在采用通过CVD等的方式形成的较薄的阻挡膜208的场合,与第二绝缘膜207的贴合性降低,容易产生剥离性。在阻挡膜208剥离等的场合,叠置于其上的屏障层215不均匀,可靠性较低。
象这样,现有的通过PVD或CVD方式形成的屏障层和阻挡膜难于以较高的可靠性形成于细微的布线槽和连接孔的内壁上,具有因布线材料的扩散等因素,半导体装置的可靠性降低的危险。
发明内容
针对上述情况,本发明的目的在于提供可靠性较高的半导体装置及其制造方法。
另外,本发明的目的在于提供一种具有可靠性较高的屏障层的半导体装置及其制造方法。
此外,本发明的目的在于提供一种具有可靠性较高的阻挡层的半导体装置及其制造方法。
为了实现上述目的,本发明的第一方面的半导体装置的制造方法的特征在于其包括下述工序:
形成绝缘层的工序,在该绝缘层的一面侧具有槽,并且具有从上述槽的底部贯穿到另一面侧的孔,该绝缘层以硅为主成分而构成;
屏障层形成工序,即,将上述槽和上述孔的内壁的表面曝露于具有氮的气体的等离子体中,在上述槽和上述孔的内壁的表面区域,形成由硅氮化膜形成的屏障层;和
在上述槽和上述孔的内侧,经上述屏障层,埋入由导体材料形成的布线层。
在上述方案中,在埋入有布线材料(特别是铜)的布线槽和连接孔的内壁上,形成由硅氮化膜构成的屏障层。构成屏障层的硅氮化膜通过下述方式形成,该方式为:利用氮气的等离子体,改善由硅类膜构成的绝缘层的表面区域。象这样,可通过直接改善绝缘层的表面的质量,在微小的高宽比较高的槽和孔的内壁上,以较高的可靠性形成较薄的屏障层。由此,可提供布线材料的扩散等降低且可靠性较高的半导体装置。
在上述方案中,优选,上述屏障层形成工序包括下述工序:将上述槽和上述孔的内壁的表面曝露于具有氮的气体的等离子体中,对上述槽和上述孔的表面区域进行氮化处理。象这样,可通过绝缘膜的直接氮化处理,形成屏障层,可形成剥离等少的较薄的屏障层。
在上述方案中,优选,具有氮的气体的等离子体按照从具有多个槽的平面天线对具有氮的气体照射微波的方式而形成。由此,可在减小对其它的膜表面的损害的同时,形成较薄的屏障层。
在上述方案中,上述绝缘层也可由多孔的电介质膜形成。即使在屏障层的形成不采用有机金属等的金属前驱体,而在绝缘层采用多孔膜的情况下,金属前驱体等不侵入绝缘层中的空孔。由此,获得防止空孔中的金属前驱体与蚀刻气体之间的反应等造成的绝缘层的性能变差,可靠性较高的半导体装置。
为了实现目的,本发明的第二方面的半导体装置的制造方法的特征在于,该方法包括下述工序:
形成以硅为主成分而构成的第一绝缘层的工序;
将上述第一绝缘层的表面曝露于具有氮的气体的等离子体中,在上述第一绝缘层的表面区域,形成由硅氮化膜形成的阻挡膜的工序;
在上述阻挡膜上,形成第二绝缘层的工序;
形成穿过上述第一绝缘层和上述第二绝缘层的通孔的工序;和
将上述阻挡膜作为蚀刻的阻挡部,在上述第二绝缘层中,形成与上述通孔重合的孔或槽的工序。
按照该方案,通过等离子体,借助改善表面质量,形成由硅氮化膜形成的阻挡膜。已形成的阻挡膜为表面损伤少、质量高的膜。由此,可以较高的可靠性形成构成布线槽的底部的阻挡膜。
在上述方案中,优选,上述阻挡膜形成工序包括下述工序,即,通过将上述第一绝缘层的表面曝露于具有氮的气体的等离子体中,对上述第一绝缘层的表面区域进行氮化处理的工序。象这样,通过绝缘膜的直接氮化处理形成阻挡膜,可在抑制表面损伤的同时,形成剥离等少的较薄的阻挡膜。
在上述结构中,上述第一和第二绝缘层也可由多孔的电介质膜构成。
在上述方案中,上述导体材料也可采用以铜为主成分的材料。即,硅氮化膜具有相对铜的屏障性,有效地用作屏障部。
在上述方案中,上述气体也可采用具有氢的气体。由此,在形成硅氮化膜的同时,可使氢与位于绝缘层的表面区域的硅的悬空键键合,使膜稳定。
在上述方案中,优选,上述屏障层在室温~600℃的温度下形成。象这样,可在低温下进行处理,可防止杂质的扩散等,可防止器件的特性变差。
在上述方案中,上述屏障层的厚度可在1nm~20nm的范围内形成。另外,上述阻挡膜的厚度可在1nm~20nm的范围内形成。
为了实现上述目的,本发明的第三方面的半导体装置的特征在于该半导体装置包括:
绝缘层,在该绝缘层的一面侧具有槽,并且具有从上述槽的底部贯穿到另一面侧的孔,该绝缘层以硅为主成分而构成;
布线层,该布线层埋入到上述槽和上述孔中,由导体材料形成;和
屏障层,该屏障层设置于上述绝缘层和布线层的界面,防止上述导体材料扩散到上述绝缘层,该屏障层由硅氮化膜构成。
按照上述方案,通过采用作为屏障层的硅氮化膜,象形成金属类的屏障膜的场合那样,在屏障膜形成时,实质上不发生金属前驱体侵入到绝缘膜内部的孔内等情况。于是,避免蚀刻等处理的工序中的绝缘层破坏,性能变差,获得可靠性较高的半导体装置。
为了实现上述目的,本发明的第四方面的半导体装置的特征在于其包括:
绝缘层,在该绝缘层的一面侧具有槽,并且具有从上述槽的底部贯穿到另一面侧的孔,该绝缘层以硅为主成分;
布线层,该布线层埋入于上述槽和上述孔中,由导体材料形成;
屏障层,该屏障层设置于上述绝缘层和布线层的界面,防止上述导体材料扩散到上述绝缘层,
上述屏障层通过下述方式形成,该方式为:将上述绝缘层的表面曝露于等离子体中,该等离子体是从具有多个槽的平面天线对具有氮的气体照射微波而形成的,在上述绝缘膜的表面区域,形成硅氮化膜。
在上述结构中,在埋入有布线材料(特别是铜)的布线槽和连接孔的内壁上,形成有由硅氮化膜构成的屏障层。构成屏障层的硅氮化膜采用径向线槽天线(RLSA),通过微波等离子体而形成于由硅类膜构成的绝缘层的表面区域。象这样,可通过采用RLSA等离子体,以较高的可靠性,在微小的、高宽比较高的槽和孔的内壁上,形成较薄的屏障层。由此,可提供布线材料的扩散等减小的、可靠性较高的半导体装置。
为了实现上述目的,本发明的第五方面的半导体装置的特征在于该半导体装置包括:
第一绝缘层,该第一绝缘层具有通孔,该第一绝缘层以硅为主成分;
阻挡膜,该阻挡膜设置于上述第一绝缘层上,具有与上述通孔重合的开口;
第二绝缘层,该第二绝缘层设置于上述阻挡膜上,具有与上述开口重合且直径大于上述开口的孔或槽,
上述阻挡膜通过下述方式形成,该方式为:将上述第一绝缘层的一个面曝露于等离子体中,该等离子体是从具有多个槽的平面天线,对具有氮的气体照射微波而形成的,在上述第一绝缘层的表面区域,形成硅氮化膜。
按照该方案,通过RLSA等离子体,形成由氮化硅膜形成的阻挡膜。通过RLSA等离子体形成的阻挡膜为表面损伤少的、质量高的膜。由此,可以较高的可靠性,形成构成布线槽的底部的阻挡膜。
附图说明
图1为表示本发明的实施方式的半导体装置的组成的图。
图2为表示本发明的实施方式的等离子体处理装置的构成的图。
图3为表示本发明的实施方式的半导体装置的RLSA的构成的图。
图4为表示本发明的实施方式的半导体装置的制造工序的图。
图5为表示本发明的实施方式的半导体装置的制造工序的图。
图6为表示本发明的实施方式的半导体装置的制造工序的图。
图7为表示双镶嵌法的工序的图。
具体实施方式
下面参照附图,对本发明的半导体装置进行描述。本实施方式的半导体装置为包括设置于半导体基板上的晶体管、存储器等的元件,与和其连接的多层布线层的半导体装置。
图1为表示本实施方式的半导体装置11的最顶层的多层布线的部分截面图。象图1所示的那样,半导体装置11包括第一绝缘层12、第二绝缘层13、第三绝缘层14与钝化膜15。
第一绝缘层12由低介电常数氧化硅(SiO2)膜形成,该膜具有规定的多孔度。第一绝缘层12包括第一槽16,在第一槽16中,埋入有由铜形成的第一布线层17。另外,在第一绝缘层12的表面上,设置有由氮化硅(SiN)形成的膜18。
第二绝缘层13设置于第一绝缘层12上。第二绝缘层13由形成多孔的、低介电常数的氧化硅形成。第二绝缘层13是在与第一绝缘层12的接触面和其相反侧的表面上,设置有由SiN形成的第一和第二阻挡膜19、20。第一和第二阻挡膜19、20的厚度设定在比如10~30nm的范围内。
在第一槽16的上方的第二绝缘层13,按照穿过第二绝缘层13的方式设置有连接孔21。在该连接孔21中,埋入有由铜形成的填塞层22。
第三绝缘层14设置于第二绝缘层13上。第三绝缘层14由多孔化的低介电常数氧化硅形成。在连接孔21上的第三绝缘层14上,按照穿过第三绝缘层14的方式设置有第二槽23。在第二槽23中,埋入有由铜形成的第二布线层24。
象这样,通过第一布线层17、填塞层22、第二布线层24,形成多层布线层。另外,在第一槽16、连接孔21和第二槽23的内壁上,形成屏障层25。该屏障层25由SiN构成,比如,其厚度在5~10nm的范围内。设置该屏障层25,以便防止作为布线材料的铜的扩散。
在这里,由SiN形成的第一和第二阻挡膜19、20与屏障膜25由采用后面将要描述的RLSA型等离子体处理装置的硅氧化膜的直接氮化处理而形成。
在第三绝缘层14的表面上,设置有SiN膜26。另外,在第三绝缘层14上,通过SiN膜27,叠置有钝化膜15。该钝化膜15由氧化硅、FSG等构成,用作半导体装置11的保护膜。另外,将钝化层15和第三绝缘层14隔开的SiN层27防止作为布线材料的铜的扩散。
下面参照附图,对上述半导体装置11中的用于形成屏障层25的等离子体处理装置进行描述。用于形成屏障层25的等离子体处理装置是RLSA(Radial Line Slot Antenna:径向线槽天线)型的等离子体处理装置。等离子体处理装置,采用微波能量,产生处理气体的等离子体,通过该等离子体,改善被处理体(硅类材料)的表面的质量。
图2表示等离子体处理装置100的截面结构。象图2所示的那样,该等离子体处理装置100包括基本呈圆筒形的室101。该室101由铝等构成。
在室101的内部的中间,设置有作为被处理体的半导体晶片(在下面称为“晶片W”)的放置台102。在该放置台102的内部,设置有图中未示出的温度调节部,通过该温度调节部,将晶片W加热到规定温度,比如,室温~600℃。
在室101的底部,连接有排气管103的一端,该排气管103的另一端与真空泵等的排气装置104连接。通过排气装置104等,将室101的内部的压力设定在规定压力,比如,4.0Pa~0.13kPa(30mTorr~1Torr)的范围内。
在室101的侧部上方,设置有气体供给管105。该气体供给管105与氮(N2)气源106,氢(H2)气源107和氩(Ar)气源108连接。气体供给管105沿室101的侧壁的周向,均匀地设置于比如16个部位。通过象这样设置,从气体供给管105供给的气体均匀地供给到放置台102上的晶片W的上方。
在室101的上部,设置有开口109。在该开口109的内侧,设置有窗110。该窗110由透过性材料,比如,石英、SiO2类的玻璃、Si3N4、NaCl、KCl、LiF、CaF2、BaF2、Al2O3、AlN、MgO等的无机物,或聚乙烯、聚酯、聚碳酸酯、纤维素乙酸酯、聚丙烯、聚氯乙烯、聚氯亚乙烯、聚苯乙烯、聚酰胺、聚酰亚胺等的有机物的薄膜、层构成。
在窗110上,设置有比如,径向线槽天线(在下面称为“RLSA”)111。在该RLSA111上,设置有与高频电源部112连接的波导通路113。该波导通路113由下述部分构成:扁平的圆形波导管114,该圆形波导管114的下端与RLSA111连接;圆筒型波导管115,该圆筒型波导管115的一端与圆形波导管114的上面连接;同轴波导变换器116,该同轴波导变换器116与圆筒型波导管115的上面连接;和矩形波导管117,该矩形波导管117的一端呈直角地与同轴波导变换器116的侧面连接,另一端与高频电源部112连接。RLSA111和波导通路113由铜板构成。
在圆形波导管114的内部,设置有同轴波导管118。该同轴波导管118由利用导电性材料形成的轴部件形成,其一端与RLSA111的上面的基本中间部连接,其另一端呈同轴状与圆形波导管114的上面连接。
图3表示RLSA111的平面图。象图3所示的那样,在RLSA111的表面,具有设置于同心圆上的多个槽111a、111a、…。各槽111a为基本呈矩形的贯通的槽,邻接的槽111a之间相互垂直,按照大致形成T字形的方式设置。槽111a的长度或排列间距对应于由高频电源部112产生的高频的波长而确定。
高频电源部112的功率比如,在500W~5kW的范围内,产生比如,2.45GHz的微波。从高频电源部112产生的微波按照矩形模式在矩形波导管117的内部传送。另外,微波通过同轴波导变换器116,从矩形模式转换为圆形模式,按照圆形模式传送给圆筒型波导管115。微波还通过圆形波导管114,按照放大的状态传送,通过RLSA111的槽111a辐射。已辐射的微波透过窗110,送入室101。
室101的内部处于规定的真空压力,Ar、N2和H2的混合气体比如,按照Ar/N2/H2=10∶1∶1的比例,从气体供给管105供给到室101的内部。通过在窗110中实现透过的微波,将高频能量传递给室101内的混合气体,产生高频等离子体。此时,由于从RLSA111中的多个槽111a,辐射微波,故产生高密度的等离子体。
通过已产生的高密度等离子体,进行晶片W表面的直接氮化处理。即,已产生的等离子体中的氮(N)自由基(radical)对形成于晶片W上的硅氧化膜(SiO2膜)的表面作用,切断Si与O之间的键,置换O。象这样,按照数nm的程度改善硅氧化膜的表面的质量,形成SiN。此时,晶片W的温度在室温~600℃的范围内,室101内的压力在4.0Pa~0.13kPa的范围内。
此时,混合气体中的Ar用作稀有气体,使反应的控制性良好。另外,从H2产生的H自由基(radical)与Si的悬空键键合,使通过改善质量而形成的SiN膜稳定,提高膜的质量。
下面参照附图,对上述的半导体装置11的制造方法进行描述。在本实施方式中,采用双镶嵌法,制造具有屏障层25的半导体装置11。图4(a)~(d),图5(e)~(g)和图6(h)表示半导体装置11的布线层的形成工序。
首先,配备第一绝缘层12,该第一绝缘层12具有第一槽16,在该第一槽16中埋入有由铜形成的第一布线层17。该第一绝缘层12由氧化硅形成,设置于半导体基板上。另外,在第一槽16的周围,设置有由SiN形成的屏障层25,另外,在第一绝缘层12的表面上,形成有由SiN形成的绝缘膜。该第一槽16、屏障层25a等通过后面将要描述的双镶嵌法形成。
接着,象图4(a)所示的那样,在第一绝缘层12上,通过CVD法等,形成由SiN形成的第一阻挡膜19。另外,还在第一阻挡膜19上,通过CVD法等,形成由氧化硅形成的第二绝缘层13。在这里,第二绝缘层13的厚度按照形成连接孔21的高度的方式设定。
然后,象图4(b)所示的那样,采用RLSA型等离子体处理装置100,进行氮化处理,在第二绝缘层13的表面上形成由SiN形成的第二阻挡膜20。另外,象图4(c)所示的那样,在第二阻挡膜20上,形成由氧化硅形成的第三绝缘层14。在这里,第三绝缘层14的厚度按照与第二槽23的高度相同的方式设定。
之后,象图4(d)所示的那样,在第三绝缘层14上,形成抗蚀图案30,在按照基本相同的速度蚀刻的条件下,对第一和第二阻挡膜19、20与第三绝缘层14进行各向异性蚀刻处理。上述蚀刻比如,可采用CF4与O2的混合气体而进行。通过采用抗蚀图案30的蚀刻处理,形成构成连接孔21的孔31。
在通过灰化处理等方式,将抗蚀图案30去除后,象图5(e)所示的那样,在第三绝缘层14上形成抗蚀图案32,对第三绝缘层14进行蚀刻处理,但是在第二阻挡膜20未进行蚀刻的条件下,进行各向异性蚀刻处理。上述蚀刻可通过采用比如C4F8与CO的混合气体而进行。通过采用抗蚀图案32的蚀刻处理,形成第二槽23。
在通过灰化处理等方式,将抗蚀图案32去除后,象图5(f)所示的那样,进行包括连接孔21和第二槽23的侧壁的整个表面的氮化处理。氮化处理采用上述的RLSA型等离子体处理装置100而进行,在硅氧化膜的整个表面上,形成薄的SiN膜33。形成于连接孔21和第二槽23上的SiN膜33构成布线材料的屏障层25b和SiN层26。
接着,通过PVD等,较薄地形成由铜形成的晶种层,然后,进行镀铜处理。通过该镀敷处理,利用铜,将连接孔21和第二槽23的内部完全地埋住,接着,通过CMP(Chemical Mechanical Polishing:化学机械抛光),将上面的不需要的金属膜去除。由此,图5(g)所示的那样的,第一布线层17和第二布线层24形成通过填塞层22连接的2层布线。
通过对上述一系列的工序反复进行规定次数,可形成2层以上的多层布线层。
最后,在半导体装置11的表面上,通过CVD等方式,形成防止铜的扩散的SiN膜27。接着,象图6(h)所示的那样,在SiN膜上,通过CVD等方式,形成由SiO2,FSG等形成的钝化膜15。另外,也可在钝化膜15上,形成SiN膜等的保护膜。象这样,在多个布线层上形成保护层,半导体装置11的制造结束。
象上面描述的那样,在上述实施方式的半导体装置11中,抑制布线材料的扩散的屏障层25采用RLSA型等离子体处理装置100,通过绝缘层的直接氮化处理而形成。由此,在微小的布线槽16、23和连接孔21的侧壁上,形成较薄的难于剥离的屏障层25。另外,由于屏障层25通过绝缘层的直接氮化处理而形成,故没有因形成的膜而造成的槽堵塞等的情况,还在高宽比较高的槽中,在不使埋入特性变差的情况下,形成屏障层25。
另外,同样,采用RLSA型等离子体处理装置100,形成作为蚀刻阻挡膜的第一和第二阻挡膜19、20。由此,可在层间绝缘膜中,设置较薄的难于剥离的阻挡膜。
象这样,通过采用RLSA型等离子体处理装置100的硅类膜的直接氮化处理,形成第一和第二阻挡膜19、20,由此,获得可靠性较高的半导体装置11。
此外,在RLSA型等离子体处理装置100中,在室温~600℃的较低的温度下,进行氮化处理。于是,所产生的等离子体中的激活种的电子温度较低而为1.5eV左右。由此,可抑制膜的表面的损伤,并且防止元件中的杂质的差异扩散等造成的元件特性的变差,同时,形成屏障层25和阻挡膜19、20。于是,获得防止元件特性的变差的可靠性较高的半导体装置11。
还有,不由氮化钽、氮化钛等的金属材料,而由SiN形成屏障层25。由此,屏障层25形成时实质上没有金属前驱体(有机金属等)朝向多孔硅氧化膜13、14的渗透,避免金属前驱体与蚀刻处理时渗透到绝缘膜内部的蚀刻残留物(氟)之间的反应。由此,防止屏障层25的破坏、低介电常数膜的性能变差,获得半导体装置11的更高的可靠性。
本发明不限于上述实施方式,可实现各种变形、应用。下面对可用于本发明的上述实施方式的变形形式进行描述。
在上述实施方式中,RLSA111和波导通路113由铜板构成。在这里,构成RLSA111和波导通路113的材料为了抑制微波的传送损失,故可适合采用导电率较高的Al、Cu、镀有Ag/Cu的不锈钢等。
再有,用于本发明的环状波导通路113的导入口的朝向只要可有效地将微波送入环状波导通路113内的微波传送空间,则也可为可按照实现H面T分支或连接导入的方式在与H面平行的情况下将微波导入的朝向,或为按照实现E面T分支的方式与H面垂直地导入微波的朝向。另外,微波的行进方向的槽间距适合为管内波长的1/2或1/4。
在上述实施方式中,采用2.45GHz的波长的微波,产生高密度等离子体。但是,并不限于此,微波频率可从0.8GHz~20GHz的范围适当地选择。
在上述实施方式中,构成布线的材料采用铜。但是,并不限于铜,可采用铝等的金属或其合金。另外,特别是,填塞部也可采用钨等的高熔点金属。
在上述实施方式中,第一,第二和第三绝缘层12、13、14由具有规定的多孔度的、低介电常数的硅膜形成。但是,并不限于此,本发明在为以硅为主成分的、特别是具有低介电常数的膜的场合,也可用于以下的绝缘膜。比如,本发明可用FSG(Fuluorinated Silicate Glass)、SiC类膜、SiCN类膜、SiOCH类膜等的硅类膜。
在上述实施方式中,硅氧化膜的直接氮化处理采用由N2、H2、Ar形成的混合气体。但是,氮化处理也可采用其它的气体。比如,代替N2,而采用NH3、N2O、NO、NO2等的含氮气体,另外也可代替Ar,而采用Ne、Xe、Kr等的其它的稀有气体。但是,优选,激活的Ar具有的能量为可一边防止膜表面的损害,一边可激活硅(Si)的能量。
另外,上述混合气体的混合比也不限于上述的Ar/N2/H2=10∶1∶1的比例,比如,也可使N2、H2的比分别在0.05~5的范围内变化。另外,同样就晶片温度、反应压力等的反应条件来说,不限于上述实例,如果可形成高质量的SiN膜,也可为下述的条件。
在上述半导体装置11中,第一和第二阻挡膜19、20也可不通过RLSA型等离子体处理装置100,而通过CVD、PVD等方式形成。在此场合,阻挡膜19、20也可由SiN以外的SiC、SiCN等形成。但是,显然,从生产性的方面来说,优选,屏障膜25和第一与第二阻挡膜19、20由RLSA型等离子体处理装置100形成。
用于本发明的RLSA型等离子体处理装置100也可与CVD装置、蚀刻装置、晶种层形成用的溅射装置等相组合用作所谓的组合式装置。
如上所述,根据本发明,则提供可靠性较高的半导体装置及其制造方法。
产业上的应用可能性
本发明用于半导体装置等的电子器件的制造。
本发明根据于2001年8月29日申请的申请号为JP特愿2001-260181号申请,包括说明书、权利要求的范围、附图和摘要。上述申请的公开内容在本说明书中以整体作为参照而包括在内。

Claims (21)

1.一种半导体装置(11)的制造方法,其特征在于,该方法包括下述工序:
形成绝缘层(13、14)的工序,在该绝缘层(13、14)的一面侧具有槽(23),并且具有从所述槽(23)的底部贯穿到另一面侧的孔(21),该绝缘层以硅为主成分而构成;
屏障层形成工序,即,将所述槽(23)和所述孔(21)的内壁的表面曝露于含有氮的气体的等离子体中,在所述槽(23)和所述孔(21)的内壁的表面区域,形成由硅氮化膜形成的屏障层(25);和
将由导体材料形成的布线层(24)经所述屏障层(25)埋入所述槽(23)和所述孔(21)的内侧的工序。
2.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,所述屏障层形成工序包括通过将所述槽(23)和所述孔(21)的内壁的表面曝露于含有氮的气体的等离子体中,从而对所述槽(23)和所述孔(21)的表面区域进行氮化处理的工序。
3.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,所述含有氮的气体的等离子体,按照从具有多个槽(111a)的平面天线(111)向含有氮的气体照射微波的方式而形成。
4.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,所述绝缘层(13、14)由多孔的电介质膜构成。
5.一种半导体装置(11)的制造方法,其特征在于,该方法包括下述工序:
形成以硅为主成分而构成的第一绝缘层(13)的工序;
将所述第一绝缘层(13)的表面曝露于含有氮的气体的等离子体中,在所述第一绝缘层(13)的表面区域,形成由硅氮化膜构成的阻挡层(20)的工序;
在所述阻挡膜(20)上,形成第二绝缘层(14)的工序;
形成穿过所述第一绝缘层(13)和所述第二绝缘层(14)的通孔(31)的工序;和
将所述阻挡膜(20)作为蚀刻的阻挡部,在所述第二绝缘层(14)中,形成与所述通孔(31)重合的孔或槽(23)的工序。
6.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
在形成所述阻挡膜(20)的工序,包括通过将所述第一绝缘层(13)的表面曝露于含有氮的气体的等离子体中,而对所述第一绝缘层(13)的表面区域进行氮化处理的工序。
7.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
所述含有氮的气体的等离子体,按照从具有多个槽(111a)的平面天线(111)对含有氮的气体照射微波的方式而生成。
8.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
所述第一和第二绝缘层(13、14)由多孔的电介质膜构成。
9.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,
所述导体材料采用以铜为主成分的材料。
10.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
所述导体材料采用以铜为主成分的材料。
11.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,
所述气体还采用含有氢的气体。
12.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
所述气体还采用含有氢的气体。
13.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,
所述屏障层(25)的形成在室温~600℃的温度下进行。
14.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
所述屏障层(25)的形成在室温~600℃的温度下进行。
15.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,
以1nm~20nm的厚度形成所述屏障层(25)。
16.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
以1nm~20nm的厚度形成所述屏障层(25)。
17.根据权利要求1所述的半导体装置(11)的制造方法,其特征在于,
以1nm~20nm的厚度形成所述阻挡膜(20)。
18.根据权利要求5所述的半导体装置(11)的制造方法,其特征在于,
以1nm~20nm的厚度形成所述阻挡膜(20)。
19.一种半导体装置(11),其特征在于,包括:
绝缘层(13、14),在该绝缘层(13、14)的一面侧具有槽(23),并且具有从所述槽(23)的底部贯穿到另一面侧的孔(21),该绝缘层以硅为主成分而构成;
布线层(24),该布线层(24)埋入于所述槽(23)和所述孔(21)中,由导体材料形成;和
屏障层(25),该屏障层(25)设置于所述绝缘层(13、14)和所述布线层(24)的界面,防止所述导体材料扩散到所述绝缘层(13、14),该屏障层(25)由硅氮化膜构成。
20.一种半导体装置(11),其特征在于,包括:
绝缘层(13、14),在该绝缘层(13、14)的一面侧具有槽(23),并且具有从所述槽(23)的底部贯穿到另一面侧的孔(21),该绝缘层以硅为主成分而构成;
布线层(24),该布线层(24)埋入于所述槽(23)和所述孔(21)中,由导体材料构成;和
屏障层(25),该屏障层(25)设置于所述绝缘层(13、14)和所述布线层(24)的界面,防止所述导体材料扩散到所述绝缘层(13、14);
所述屏障层(25)通过下述方式形成,该方式为:将所述绝缘层(13、14)的表面曝露于等离子体中,该等离子体是从具有多个槽(111a)的平面天线(111)对含有氮的气体照射微波而形成的,在所述绝缘层(13、14)的表面区域,形成硅氮化膜。
21.一种半导体装置(11),其特征在于,包括:
第一绝缘层(13),该第一绝缘层(13)具有通孔(21),且以硅为主成分而构成;
阻挡膜(20),该阻挡膜(20)设置于所述第一绝缘层(13)上,具有与所述通孔(21)重合的开口;和
第二绝缘层(14),该第二绝缘层(14)设置于所述阻挡膜(20)上,具有与所述开口重合且其直径大于所述开口的孔或槽(23),
所述阻挡膜(20)通过下述方式形成,该方式为:将所述第一绝缘层(13)的一个面曝露于等离子体中,该等离子体是从具有多个槽(111a)的平面天线(111)对含有氮的气体照射微波而形成的,在所述第一绝缘层(13)的表面区域,形成硅氮化膜。
CNB028155467A 2001-08-29 2002-08-29 半导体装置及其制造方法 Expired - Fee Related CN100365796C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP260181/2001 2001-08-29
JP2001260181A JP2003068850A (ja) 2001-08-29 2001-08-29 半導体装置およびその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101961684A Division CN101165876A (zh) 2001-08-29 2002-08-29 半导体装置的制造方法、基板处理装置及基板处理方法

Publications (2)

Publication Number Publication Date
CN1539165A true CN1539165A (zh) 2004-10-20
CN100365796C CN100365796C (zh) 2008-01-30

Family

ID=19087421

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2007101961684A Pending CN101165876A (zh) 2001-08-29 2002-08-29 半导体装置的制造方法、基板处理装置及基板处理方法
CNB028155467A Expired - Fee Related CN100365796C (zh) 2001-08-29 2002-08-29 半导体装置及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2007101961684A Pending CN101165876A (zh) 2001-08-29 2002-08-29 半导体装置的制造方法、基板处理装置及基板处理方法

Country Status (7)

Country Link
US (1) US20050003660A1 (zh)
EP (1) EP1432023A4 (zh)
JP (1) JP2003068850A (zh)
KR (1) KR100619470B1 (zh)
CN (2) CN101165876A (zh)
TW (2) TWI300597B (zh)
WO (1) WO2003019650A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101641783B (zh) * 2007-03-26 2011-11-30 东京毅力科创株式会社 氮化硅膜和非易失性半导体存储器件
CN104810353A (zh) * 2014-01-29 2015-07-29 英飞凌科技股份有限公司 电子阵列和芯片封装
CN105336576A (zh) * 2014-08-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其制备方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
CN101217136B (zh) 2003-05-29 2011-03-02 日本电气株式会社 布线结构及其制造方法
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
JP2005183567A (ja) * 2003-12-18 2005-07-07 Matsushita Electric Ind Co Ltd 半導体集積回路の製造方法、ヴィアホール形成用共用マスクおよび半導体集積回路
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
JP2005217371A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
CN1787186A (zh) 2004-12-09 2006-06-14 富士通株式会社 半导体器件制造方法
JP2006253666A (ja) * 2005-02-10 2006-09-21 Nec Electronics Corp 半導体装置およびその製造方法
JP4540504B2 (ja) * 2005-03-03 2010-09-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JPWO2006126536A1 (ja) * 2005-05-25 2008-12-25 日本電気株式会社 半導体装置及びその製造方法
CN101194345B (zh) * 2005-06-08 2010-05-19 国立大学法人东北大学 等离子体氮化处理方法和处理装置、半导体装置制造方法
KR100731496B1 (ko) * 2006-08-31 2007-06-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP5366235B2 (ja) * 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US8847186B2 (en) * 2009-12-31 2014-09-30 Micron Technology, Inc. Self-selecting PCM device not requiring a dedicated selector transistor
GB201110117D0 (en) * 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US10211148B2 (en) 2015-12-14 2019-02-19 International Business Machines Corporation Structural enhancement of Cu nanowires
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
KR101808605B1 (ko) * 2016-12-22 2018-01-18 김재범 전파 전달이 가능하거나 방열특성을 가지는 전도층이 코팅된 비전도성 프레임
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
US11049731B2 (en) * 2018-09-27 2021-06-29 Applied Materials, Inc. Methods for film modification
JP2020155591A (ja) 2019-03-20 2020-09-24 株式会社東芝 半導体装置
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JPH11145138A (ja) * 1997-11-10 1999-05-28 Hitachi Ltd 半導体装置およびその製造方法
US6140024A (en) * 1997-12-31 2000-10-31 Texas Instruments Incorporated Remote plasma nitridation for contact etch stop
US6252303B1 (en) * 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP3628903B2 (ja) * 1999-03-24 2005-03-16 ローム株式会社 半導体装置の製造方法
JP2001035917A (ja) * 1999-07-19 2001-02-09 Hitachi Ltd 半導体装置およびその製造方法
JP3634994B2 (ja) * 1999-11-30 2005-03-30 富士通株式会社 配線の形成方法及び半導体装置の製造方法
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP2001230317A (ja) * 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101641783B (zh) * 2007-03-26 2011-11-30 东京毅力科创株式会社 氮化硅膜和非易失性半导体存储器件
CN104810353A (zh) * 2014-01-29 2015-07-29 英飞凌科技股份有限公司 电子阵列和芯片封装
CN105336576A (zh) * 2014-08-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其制备方法

Also Published As

Publication number Publication date
JP2003068850A (ja) 2003-03-07
TW200802609A (en) 2008-01-01
US20050003660A1 (en) 2005-01-06
TWI297518B (zh) 2008-06-01
CN101165876A (zh) 2008-04-23
TWI300597B (en) 2008-09-01
EP1432023A1 (en) 2004-06-23
WO2003019650A1 (fr) 2003-03-06
KR20040031013A (ko) 2004-04-09
EP1432023A4 (en) 2005-12-28
CN100365796C (zh) 2008-01-30
KR100619470B1 (ko) 2006-09-08

Similar Documents

Publication Publication Date Title
CN1539165A (zh) 半导体装置及其制造方法
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
CN101606234B (zh) 蚀刻方法及存储介质
CN101533799B (zh) 半导体器件及其制造方法
JP5261964B2 (ja) 半導体装置の製造方法
CN1278415C (zh) 具有多个布线层的半导体器件及其制造方法
KR20010080483A (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 기타환원가능한 오염물의 제거
CN101069272A (zh) 蚀刻方法和蚀刻设备
JP2005268312A (ja) レジスト除去方法及びそれを用いて製造した半導体装置
CN1351369A (zh) 半导体装置及其制造方法
CN1783479A (zh) 互连结构及其形成方法
CN1790702A (zh) 改进的hdp氮化物基ild盖层
CN1146962C (zh) 用于半导体装置中的绝缘膜和半导体装置
KR101334004B1 (ko) 반도체 장치 및 다층 배선 기판
CN100343975C (zh) 半导体装置的制造方法
CN1881577A (zh) 半导体器件及其制造方法
CN1612336A (zh) 半导体装置及其制造方法
TW200811953A (en) Semiconductor device and semiconductor device manufacturing method
CN1314102C (zh) 半导体装置及其制造方法
US7199043B2 (en) Method of forming copper wiring in semiconductor device
CN1795546A (zh) 氟化碳膜的形成方法
CN1967786A (zh) 等离子体蚀刻方法
US7745335B2 (en) Semiconductor device manufactured by reducing hillock formation in metal interconnects
US9384979B2 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
CN1462069A (zh) 布线结构的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080130

Termination date: 20090929