CN1526008A - 包含无氨氟化物盐的微电子清洗组合物 - Google Patents

包含无氨氟化物盐的微电子清洗组合物 Download PDF

Info

Publication number
CN1526008A
CN1526008A CNA028138775A CN02813877A CN1526008A CN 1526008 A CN1526008 A CN 1526008A CN A028138775 A CNA028138775 A CN A028138775A CN 02813877 A CN02813877 A CN 02813877A CN 1526008 A CN1526008 A CN 1526008A
Authority
CN
China
Prior art keywords
atom
weight
heteroatomic
cleaning combination
hydroxyethyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028138775A
Other languages
English (en)
Other versions
CN100513545C (zh
Inventor
���-ƽ��S����
奇恩-平·S·许
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Anwantuo Materials LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN1526008A publication Critical patent/CN1526008A/zh
Application granted granted Critical
Publication of CN100513545C publication Critical patent/CN100513545C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

用于清除微电子基板上的光致抗蚀剂和等离子残余灰烬的无氨和无氢氟酸的清洗组合物,更具体地,此清洗组合物对具有敏感多孔、低-κ和高-κ电介质,敷铜为特征的微电子基板有益的,并对基板具有改进的相容性。该清洗剂中含有一种或多种能溶于合适的溶剂基质中,不产生铵和氢氟酸的氟化物盐(无铵的氟化季铵盐)。

Description

包含无氨氟化物盐的微电子清洗组合物
发明领域
本发明涉及用于清洗微电子基板的含有无氨氟化物盐的清洗组合物,更具体地,本发明涉及对以敏感多孔、低-κ和高-κ电介质(dielectrics)以及敷铜(copper metallization)为特征的微电子基板有益的、并与基板具有改进相容性的清洗组合物。本发明也涉及此清洗组合物在剥离光致抗蚀剂(photoresists)、清除等离子生成的有机化合物、金属有机化合物和无机化合物的残余物(residues)、清除来自诸如化学机械磨光(CMP)平坦化工艺的残余物以及清除平坦化工艺残余浆中的添加剂的用途。
发明背景
在微电子工业中,多种光阻剂和残余物清除剂已被建议用来作为制造生产线下游或后端的清洗剂。在制造工艺中,光致抗蚀剂薄膜沉积于晶片基板上,然后将电路设计成像在薄膜上。烘焙后,未聚合的抗蚀剂可用光致抗蚀剂显像剂清除。因此,生成的图像可通过活性的等离子蚀刻气体或化学蚀刻剂溶液转移到底层材料上,底层材料通常为电介质或金属。这些蚀刻气体或化学蚀刻剂溶液选择性地蚀刻基板上未被光致抗蚀剂保护的区域。因此,作为等离子蚀刻技术的结果,光致抗蚀剂、蚀刻气体和蚀刻材料的副产物为沉积在基板上蚀刻空隙的侧部表面上或周围的残余物。
此外,在蚀刻过程完成后,必须从晶片的被保护区域上清除抗蚀剂掩膜,如此才能完成最后的修整过程。在等离子灰化步骤中使用合适的等离子灰化气体或湿法化学剥离剂可完成此修整过程。然而,寻找一种用来清除抗蚀剂掩膜材料而对金属电路没有如腐蚀、溶解或浊化的不利影响的,合适的清洗组合物,已证明同样是有问题的。
随着微电子制造集成水平的提高以及模式微电子器件尺寸的减小,在本领域中使用敷铜、低-κ和高-κ电介质的技术越来越普通。寻找可接受的清洗组合物对这些材料来说是另外的挑战。许多以前为“传统的”或“常规的”半导体器件研制的工艺组合物中包含有Al/SiO2或Al(Cu)/SiO2结构,而不适用于敷铜的低-κ或高-κ电介质结构。如,羟胺基剥离剂或残余物清洗剂组合物能成功地用于清洗含有镀铝的器件,然而几乎不适用于敷铜的器件。如果不对组合物作重大的调整,许多适合于敷铜/低-κ的剥离剂则同样地不适用于镀铝的器件。
在蚀刻和/或灰化过程后,清除蚀刻和/或灰化的残余物已证实是有问题的。如不能完全清除或中和这些残余物,湿气将被会吸收,并且能生成腐蚀金属结构的不必要的物质,电路材料因此被这些不必要的物质腐蚀,在电路线圈中生成不连续区域以及使电阻不必要增大。
该发明的后端(back end)清洗剂显示出与某些敏感的电介质和涂敷金属有着广泛的相容性:从彻底的不接受到相对满意。大多数当前的电路剥离剂或残余物清洗剂不适用于高级互连的材料比如多孔材料、低-κ和高-κ的电介质和敷铜。此外,普通的碱性清洗溶液对低-κ和高-κ的电介质和/或敷铜具有过度的攻击性。而且,大多数碱性清洗组合物含有稳定性差的有机溶剂,特别在高pH值范围和高温的过程中稳定性更差。
发明概述
因此,开发出适用于后端清洗过程的微电子清洗组合物迫在眉睫,这种组合物应是有效的清洗剂,并适用于剥离光致抗蚀剂和清洗来自等离子过程中生成的有机、有机金属和无机化合物的等离子灰烬残余物(plasma ashresidues)。本发明涉及能有效剥离光致抗蚀剂,制备/清洗灰化的半导体表面和结构,并与高级互连的材料和敷铜具有好的相容性的组合物。
实验已经发现,NH3及其衍生盐,如:NH4X(其中X是氟化物、氟硼酸盐等),能够通过生成复杂化合物的形式来溶解/腐蚀金属如铜。因此,当要求与多孔的、低-κ电介质和敷铜具有相容性时,在半导体清洗配方中使用它们是不好的选择。在平衡过程中,这些化合物可产生氨。氨可与金属如铜形成络合物,且导致金属腐蚀/溶解,如下述方程式所示。
(方程1)
(方程2)
(方程3)
因此,通过方程1或2所描述的平衡过程,氟化铵能提供亲核的,能与金属鳌合的氨(NH3),特别是当加入其它碱性物质如胺和链烷醇胺时。在氧气存在下,金属如铜可通过与氨形成络合物而被溶解/腐蚀,如方程3所示。这种络合物的形成可进一步使平衡(方程1或2)向右边移动,并提供更多的氨,这导致进一步的金属溶解/腐蚀。
特别是在酸性条件下,氢氟酸能腐蚀和毁坏敏感的,低-κ电介质,如:氢硅倍半氧丙环(HSQ)和甲基硅倍半氧丙环(MSQ)。如存在氢氟酸,即使是少量的,也是有害的。氨及其衍生盐也呈现出与敏感电介质,如HSQ和MSQ,不好的相容性。此外,它们能提供氨和/或其它的亲核体,因此能导致敏感电介质发生反应/降解。衍生于伯胺和仲胺的氟化物盐对敏感的、低-κ电介质不利,因为它们能够通过与方程式1-3类似的机理,提供有效的亲核体,如相应的伯胺和仲胺。
实验已发现,含有不产生铵和氢氟酸的氟化物盐的清洗制剂呈现出与敏感多孔、低-κ和高-κ电介质以及敷铜具有显著改进的相容性。任何合适的不产生铵和氢氟酸的氟化物盐皆可用于本发明的清洗组合物中。
发明详述
本发明的新型后端清洗组合物含有一种或多种溶于合适溶剂中的任何合适的不产生铵和氢氟酸的氟化物盐(即,无铵的氟化季铵盐)。在合适的不产生铵和氢氟酸的氟化物盐中,可提到四烷基氟化铵,其分子式为(R)4N+F-,其中R分别独立地为取代烷基或未取代烷基,优选的烷烃含有1-22个碳原子,更优选的含1-6个碳原子,并且R≠H,如四甲基氟化铵、四丁基氟化铵以及氟硼酸盐,四丁基氟硼酸铵,六氟铝酸盐和氟化锑等。
不产生铵和氢氟酸的氟化物盐表现出与低-κ电介质材料和敷铜具有显著改进的相容性。四烷基季铵盐,如:四甲基氟化铵(TMAF)能与水,某些无水有机溶剂、或水和一种或多种极性的、水溶性有机溶剂混合并溶解。选择与铜/低-κ电介质友好相容的有机溶剂也是有利的。任何合适的不含强亲核体(如:无阻的伯胺和仲胺)的溶剂可优选地使用。优选的试剂不包括无阻的亲核体,但包括,如:二甲基亚砜(DMSO)、环丁砜(SFL)、二甲基哌啶酮(DMPD)、1-(2-羟乙基)-2-吡咯烷酮(HEP)、1-甲基-2-吡咯烷酮以及二甲基乙酰胺等。含腈的极性溶剂,如乙腈、异丁基腈等极为有利。
此外,尽管无水的氟化铵简直不溶于大多数的有机溶液中,但是四烷基氟化铵,如四甲基氟化铵(TMAF)能与有机溶剂混合,并能完全溶解其中,如1-(2-羟乙基)-2-吡咯烷酮(HEP)。因此,很容易制备一种简单的完全无水的有效清洗组合物用来从含有低-κ电介质和敷铜的基板上清除光致抗蚀剂和灰烬残余物。重量比为50∶0.8的HEP和TMAF就是此种完全无水的清洗组合物的一个实施例。
此外,尽管本发明中的氟化物盐清洗组合物并不需要腐蚀抑制溶剂,但在某些实例中选择性地添加腐蚀抑制溶剂则更为有利。腐蚀抑制溶剂是一种溶剂化合物,其含有至少两个能与金属配合的配位点。
优选的此类腐蚀抑制溶剂为含有至少两个能与金属配合的配位点的化合物,并具备下面两种通式之一:
                   W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
                           或
                    T-[(CR3R4)m-Z)]y
其中W和Y分别独立地选自以下:其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。在本发明的组合物中可任选地含有重量百分比为0-80%的腐蚀抑制溶剂,优选为约0-50%之间,最优选为约5-40%之间。
在上述定义中,烷基和亚烷基优选地含有1-6个碳原子,更优选地含有1-3个碳原子;环烷基和亚环烷基优选地含有3-6个碳原子;芳香基和亚芳基优选地含有3-14个碳原子,更优选地含有3-10个碳原子;烷基优选为甲基、乙基或丙基;亚烷基优选为亚甲基、亚乙基或亚丙基;芳基优选为苯基;亚芳基优选为亚苯基;杂原子取代环烷基优选为二氧基(dioxyl),吗啉基和吡咯烷基;杂原子取代芳香基优选为吡啶基(pyridinyl)。
一些此类腐蚀抑制溶剂合适的实例中包括下列溶剂:乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮(pyrrolidinone)、4-(2-羟乙基)-吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨乙氧基)-乙醇、N-(2-羟乙基)-乙酰胺、N-(2-羟乙基)-琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇,但并不局限于这些试剂。
尽管以前尝试过通过仔细控制pH值和/或使用其它腐蚀抑制化合物,如:小于2wt.%的苯并三唑(BT)来控制和抑制金属腐蚀,但已经发现本发明的清洗组合物意想不到地、显著地改善和控制铜的金属腐蚀状况,而无需添加此类腐蚀抑制化合物。然而,如果任选的腐蚀抑制化合物添加到本发明的清洗组合物中,效果则更理想。其它此类的腐蚀抑制化合物实例包括:BT,含有至少2个OH或OR官能团的芳香化合物,其中R是烷基或芳香基,例如:邻苯二酚、焦棓酸、间苯二酚等。任选的此类其它金属腐蚀抑制化合物重量百分比在约0-40%之间。
清洗组合物也可以含有表面活性剂,例如二甲基己炔醇(Surfynol-61)、乙氧化四甲基癸炔二醇(Surfynol-465)和聚四氟乙烯十六烷氧基丙基甜菜碱(Zonyl FSK)(Zonyl FSH)等。
任何合适的无金属离子的硅酸盐也可以用于本发明的组合物中,优选的硅酸盐为季铵硅酸盐,例如四烷基硅酸铵(包括通常在烷基或烷氧基中具有1-4个碳原子的含羟基和烷氧基的烷基)。最优选的无金属离子的硅酸盐组分是四甲基硅酸铵。本发明中其它合适的无金属离子的硅酸盐原料可以就地(insitu)通过将一种或多种的下列物质溶解在强碱清洗剂中制备。适用于在清洗剂中生成硅酸盐的、合适的无金属离子的硅酸盐物质包括:固体硅晶片、硅酸、硅溶胶、热解法二氧化硅(fumed silica)或者任何其它形式的硅或硅石。可以使用金属硅酸盐,如硅酸钠盐,但是因为金属对集成电路具有危害性的影响,所以并不推荐使用金属硅酸盐。组合物中硅酸盐重量百分比为约0-10%之间,优选地含量为约0.1-5%之间。
为提高制剂在溶液中维持金属的能力以及提高晶片基板上金属残余物的溶解性能,可以往本发明的组合物中调配合适的金属螯合剂。通常,螯合剂在组合物中的重量百分比为约0-5%,优选的含量为约0.1-2wt.%。能满足此目的的螯合剂的典型实例有以下的有机酸及其异构体和相应的盐:乙二胺四乙酸(EDTA),丁二胺四乙酸,(1,2-环己二胺)四乙酸(CyDTA),二亚乙基三胺五乙酸(DETPA),乙二胺四丙酸,(羟乙基)乙二胺三乙酸(HEDTA),N,N,N’,N’-亚乙基二胺四(亚甲基磷酸)(EDTMP),三亚乙基四胺六乙酸(TTHA),1,3-二氨基-2-羟丙烷-N,N,N’,N’-四乙酸(DHPTA),甲基亚氨基二乙酸,丙二胺四乙酸,氨三乙酸(NTA),柠檬酸,酒石酸,葡萄糖酸,糖酸,甘油酸,草酸,邻苯二甲酸,马来酸,苦杏仁酸,丙二酸,乳酸,水杨酸,邻苯二酚,鞣酸(没食子酸),鞣酸丙酯,焦棓酸,8-羟基喹啉和巯基丙氨酸(半胱氨酸)。优选的螯合剂为氨基羧酸如:EDTA,CyDTA和氨基磷酸如EDTMP。
本发明的含有不产生铵和氢氟酸的氟化物盐的清洗组合物,可调配为含水的、半含水的或基于有机溶剂的组合物。不产生铵和氢氟酸的氟化物盐可与任何合适的稳定试剂一起使用,优选为一种或多种能抵抗强碱性的极性有机溶剂,这些极性溶剂中不含有无阻的亲核体,如二甲基亚砜(DMSO)、环丁砜(SFL)、二甲基吡啶酮、HEP、1-甲基-2-吡咯烷酮、二甲基乙酰胺等。含腈的极性溶剂,如乙腈,异丁基腈等极为有利。清洗组合物也可以任选地含有机酸或无机酸,优选为有机弱酸或无机弱酸、位阻胺、位阻链烷醇胺、位阻羟胺,如:三异丙基胺,以及其它腐蚀抑制剂等。
因此,把操作/运行的pH值和温度控制在一个宽的范围内,能有效地从多孔或低-κ或高-κ电介质或敷铜的基板上去除光致抗蚀剂,等离子蚀刻/灰烬残余物、牺牲光吸收材料(sacrifical light absorbing materials)和抗反射层(anti-reflective coatings,ARC)。
本发明的清洗组合物一般含有约0.05-20wt.%的不产生铵和氢氟酸的氟化物盐;约5%至约99.95wt.%的水或有机溶剂,或水和有机溶剂的混合物;约0-80wt.%的腐蚀抑制溶剂;约0-40wt.%的位阻胺或位阻链烷醇胺和位阻羟胺;约0-40wt.%有机酸或无机酸;约0-40wt.%的其它金属腐蚀抑制化合物;约0-5wt.%的表面活性剂;0-10wt.%的无金属离子的硅酸盐化合物和约0-10wt.%的金属螯合剂。
在本发明申请书的以下部分将用到下列化合物的缩写:
HEP=1-(2-羟乙基)-2-吡咯烷酮
TMAF=20%四甲基氟化铵
BT=苯并三唑
DMSO=二甲基亚砜
TEA=二乙醇胺
SFL=环丁砜
DMPD=二甲基哌啶酮
TBAF=75%四丁基氟化铵
DMAc=二甲基乙酰胺
NMP=N-甲基-吡咯烷酮
下表1中将列出本发明的组合物实施例。
                     表1
                              重量份数
组分        组合物      A         B         C
HEP                     90        45        54
H2O                    16        15
TBAF                    5.75
TMAF                              15
无水TMAF                                    0.8
BT                      0.11      0.4
DMSO                              15
TEA                               15
下列测试方法将给出表1中的组合物B对各种电介质的层间介电(interlayered dielectric,ILD)的蚀刻速率。
使用Rudolph干涉计测量的晶片的膜厚度。于指定温度下,将(表面上沉积有ILD材料的)晶片沉浸于指定的清洗组合物中30分钟,用去离子冲洗之后,在氮气气流保护下干燥。然后再次测量膜的厚度,并根据晶片膜厚度的变化来计算在指定处理方法下的蚀刻速率。结果如表2,3,4所示。
                                        表2
                           45℃下电介质蚀刻速率(/min)(30分钟)
组合物 CDO  BlackDiomond SiLK Coral FSG TEOS FOx-16 SiN
B   <1  <1   <1   <1   ---   3  <1   ---
                                 表3
                   55℃下电介质蚀刻速率(/min)(30分钟)
组合物 CDO   BlackDiomond SiLK Coral FSG TEOS FOx-16 SiN
B   2   6   <1   <1   <1   ---   ---   3
                                     表4
                   65℃下电介质蚀刻速率(/min)(30分钟)
组合物 CDO   BlackDiamond SiLK Coral FSG TEOS FOx-16 SiN
B   2   13   5   1   <1   1  ---   2
表2,3,4中使用的电介质如下所示:
CDO=掺杂碳的氧化物;
Black DiamondTM=掺杂碳的氧化物商标;
SiLKTM=有机聚合物;
CoralTM=掺杂碳的氧化物商标;
FSG=氟化硅酸盐玻璃;
TEOS=原硅酸四乙酯;
FOx-16TM=可流动的氧化物(HSQ型);
SiN=氮化硅
在以下实施例中展示了,与相容性较差的金属铝相比,金属铜在本发明的组合物中具有优越的相容性。
金属铜和铝在本发明清洗组合物中的蚀刻速率如表5和6所示,其检测方法如下:
本实施例中使用尺寸大约为13×50毫米的金属铝箔或铜箔。首先称其重量,然后用2-丙醇、蒸馏水和丙酮清洗金属箔片,再在干燥炉中烘干。然后将清洗过的干燥的箔片置于装有预先加热的本发明清洗组合物瓶中,其瓶盖松散地盖在瓶上;于指定温度下在真空炉中放置2-24小时,然后从瓶中取出箔片,并用大量蒸馏水冲洗清洗过的箔片,在干燥炉干燥1小时后,待其冷却至室温,并根据计算重量损失或变化来确定蚀刻速率。
                                   表5
组分重量份数     Cu蚀刻速率(/hr),45℃,(24小时试验)     Al蚀刻速率(/hr),45℃,(24小时试验)
    10∶40∶1020%TMAF-SFL-TEA     46     8,100
    10∶40∶1020%TMAF-SFL-DMAc     <10     4,200
    10∶40∶1020%TMAF-SFL-HEP     15     2,800
    10∶5020%TMAF-DMPD     <10     8,100
    10∶30∶2020%TMAF-SFL-TEA     <10     2,300
    10∶30∶2020%TMAF-NMP-H2O     <10     6,100
    90∶15.9∶0.11∶5.7HEP-H2O-BT-75%TBAF     <10     600
    90∶15.9∶0.11∶7.54HEP-H2O-BT-20%TMAF     <10     1,000
    90∶1 5.9∶7.54HEP-H2O-20%TMAF     <10     800
                                     表6
组分重量份数 Cu蚀刻速率(/hr)45℃,(24小时试验) Al蚀刻速率(/hr)45℃,(24小时试验)
    10∶5020%TMAF-H2O <10 4,500
    10∶5020%TMAF-(2-丙醇) <10 1,000
    10∶50 <10 1,200
    20%TMAF-HEP
    10∶5020%TMAF-DMAc     <10     5,700
    10∶5020%TMAF-SFL     <10     2,600
    10∶5020%TMAF-DMSO     <10     3,600
    10∶5020%TMAF-NMP     <10     5,700
通过同样的检测方法,金属铜在本发明组合物中的蚀刻速率,与金属铜在以氟化铵取代本发明组合物中的氟化四甲基铵的组合物中蚀刻速率得到比较。其结果如表7所示:
                              表7
  组分重量份数     pH值(10%水溶液) Cu蚀刻速率(/hour)65℃,(24小时试验)
  60∶40∶5DMAc-H2O-40%NH4F 5.1 460
  60∶40∶10DMAc-H2O-20%TMAF     4.8 <10
以下实施例中,示范了与基于铵的氟化物,例如:氟化铵相比,本发明中无铵的氟化季铵盐,例如:TMAF,对敏感的、低-κ电介质如:HSQ型的Fox-15TM流动氧化物,具有优越的相容性。其测试方法如下:将涂有电介质薄膜的晶片样品沉浸入电磁搅拌的湿化学溶液中(搅拌速率为300rpm),然后用异丙醇和蒸馏水冲洗,在氮气气流保护下干燥,最后进行红外光谱(IR)分析。
用带有氘化硫酸三甘氨酸酯(DTGS)检测器的Nicolet 740 FTIR光谱仪测量透射IR光谱,其光谱的分辨率为4cm-1,每32个扫描取平均值。FTIR光谱分析提供了一个监控HSQ电介质的结构变化的方法。典型沉积的HSQ薄膜的红外吸收光谱谱带归属如下表所示。
    HSQ电介质的红外吸收光谱的谱带归属
    吸收频率(cm-1)     谱带归属
    2,250     Si-H谱(stretch)
    1,060-1,150     Si-O-Si谱
    830-875     H-Si-O杂化振动
HSQ薄膜中Si-H键的含量可以由计算Si-H吸收带在2,250cm-1处的峰值面积来确定。以硅晶片的固有吸收在650-525cm-1(来自Si-Si晶格键长和Si-C杂质)处为内标/基准,可以精确地得到定量IR分析(相对标准偏差为2-5%)。
Black Diamond电介质的IR谱带如下:
Si-H:波谱在2,100-2,300cm-1
Si-CH3:波谱在1,245-1,300cm-1
结果如下表8和9所示。
                            表8
         组合物与FOx-15HSQ型的低-κ电介质的相容性
    工艺条件;组分重量份数 (经FTIR检测)处理后Si-H的剩余百分含量     处理后膜厚度的剩余含量(%)
    65℃,15分钟;90∶17∶0.6∶0.11HEP-H2O-NH4F-BT(比较的) <9 0
    65℃,15分钟;90∶17∶4.28∶0.11HEP-H2O-TBAF-BT 91±1 98
    75℃,15分钟;90∶17∶4.28∶0.11HEP-H2O-TBAF-BT 85.5±1 96
    65℃,15分钟;90∶14.5∶1.52∶0.11HEP-H2O-TMAF-BT 84±2 93
    65℃,15分钟;90∶6∶1.42∶0.11HEP-H2O-TMAF-BT 81 89
薄膜原始厚度:4,500。
                                         表9
                        组合物与Black Diamond低-κ电介质的相容性
    工艺条件;组分重量份数 (经FTIR检测)处理后Si-H剩余百分含量 (经FTIR检测)处理后Si-CH3剩余百分含量 处理后膜厚度的剩余含量(%)
    65℃,15分钟;90∶17∶0.6∶0.11HEP-H2O-NH4F-BT(比较的) 91±2 101±1 99±1
    65℃,15分钟;90∶17∶4.28∶0.11HEP-H2O-TBAF-BT 88±5 96±2 96±1
薄膜原始厚度:5,400。
以下实验中将比较本发明组合物与商业化的组合物(ATMI ST-250)的清洗能力,实验中使用的微电子结构包括下面通孔结构(via structure)的晶片,即pTEOS/CoralTM CDO/SiN/Coral/SiN/Cu。于指定温度下将晶片浸入清洗剂溶液中一定时间,然后用水冲洗,干燥,之后,用SEM观察其清洁能力。结果如表10所示:
                                 表10
工艺条件和组合物 清洗性能 基板相容性
45℃,1分钟;表1中组合物B 100%清洁;清除全部残余物 与金属Cu、电介质和蚀刻阻碍/隔离层(etchstop/barrier layers)100%相容
65℃,4分钟;表1中组合物B 100%清洁;清除全部残余物 与金属Cu、电介质和蚀刻阻碍/隔离层100%相容
65℃,20分钟;ATMI ST-250(基于NH4F的清洗剂) 不相容;严重损害SiN层,去除全部SiN层 ---
根据本发明的前述说明,本领域的技术人员应理解可在没有脱离本发明的精神和范围的情况下对本发明作出改性。因此,不打算将本发明的范围限制到所例举的和描述的具体实施方案上。

Claims (25)

1.一种用于清洗具有多孔电介质、低-κ或高-κ的电介质和敷铜中至少之一的微电子基板的清洗组合物,所述清洗组合物含有:
约0.05-20%重量的一种或多种不产生铵、不产生氢氟酸的氟化物盐;
约5-99.95%重量的水、有机溶剂或水和有机溶剂的组合;
约0-80%重量的金属腐蚀抑制溶剂;
约0-40%重量的位阻胺或链烷醇胺;
约0-40%重量的有机酸或无机酸;
约0-40%重量的其它的金属腐蚀抑制剂化合物;
约0-5%重量的表面活性剂;
约0-10%重量的无金属离子的硅酸盐化合物;和
约0-5%重量的金属螯合剂。
2.如权利要求1所述的清洗组合物,其中氟化物盐是四烷基氟化铵。
3.如权利要求2所述的清洗组合物,其中四烷基氟化铵是分子式为(R)4N+F-的化合物,其中每个R独立地是取代烷基或未取代烷基。
4.如权利要求3所述的清洗组合物,其中R为含有1-22个碳原子的烷基。
5.如权利要求4所述的清洗组合物,其中R为含有1-6个碳原子的烷基。
6.如权利要求5所述的清洗组合物,其中氟化物盐包括四丁基氟化铵。
7.如权利要求1所述的清洗组合物,其中腐蚀抑制溶剂包括选自下式的化合物:
                   W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
                               或
                        T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
8.如权利要求7所述的清洗组合物,其中在R-R5的定义中,烷基具有1-6个碳原子,芳香基具有3-14个碳原子。
9.如权利要求7所述的清洗组合物,其中腐蚀抑制溶剂选自:乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)-吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)-乙醇、N-(2-羟乙基)-乙酰胺、N-(2-羟乙基)-琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
10.如权利要求1所述的清洗组合物,包括水或选自下列的有机溶剂:二甲基亚砜、环丁砜和二甲基哌啶酮。
11.如权利要求1所述的清洗组合物,包括四丁基氟化铵和1-(2-羟乙基)-2-吡咯烷酮的无水组合物。
12.如权利要求1所述的清洗组合物,包括四丁基氟化铵、二甲基亚砜、1-(2-羟乙基)-2-吡咯烷酮、水、三乙醇胺和苯并三唑。
13.一种用于清洗具有多孔电介质、低-κ或高-κ的电介质或敷铜中的至少一种的微电子基板上的光致抗蚀剂或等离子蚀刻或灰烬残余物的方法,所述的方法包括将基板和清洗组合物接触足以从基板上清除光致抗蚀剂和等离子灰烬残余物的时间,其中清洗组合物包括:
约0.05-20%重量的一种或多种不产生铵、不产生氢氟酸的氟化物盐;
约5-99.95%重量的水、有机溶剂或水和有机溶剂的组合;
约0-80%重量的金属腐蚀抑制溶剂;
约0-40%重量的位阻胺或链烷醇胺;
约0-40%重量的有机酸或无机酸;
约0-40%重量的其它的金属腐蚀抑制剂化合物;
约0-5%重量的表面活性剂;
约0-10%重量的无金属离子的硅酸盐化合物;和
约0-5%重量的金属螯合剂。
14.如权利要求13所述的方法,其中氟化物盐是四烷基氟化铵。
15.如权利要求14所述的方法,其中四烷基氟化铵是分子式为(R)4N+F-的化合物,其中R独立地是取代烷基或未取代烷基。
16.如权利要求15所述的方法,其中R为具有1-22个碳原子的烷基。
17.如权利要求16所述的方法,其中R为具有1-6个碳原子的烷基。
18.如权利要求17所述的方法,其中氟化物盐包括四丁基氟化铵。
19.如权利要求13所述的方法,其中腐蚀抑制溶剂包括选自下式的化合物:
              W-(CR1R2)n1-X-[(CR1R2)n2-Y]z
                          或
                    T-[(CR3R4)m-Z)]y
其中W和Y各自独立地选自=O、-OR、-O-C(O)-R、-C(O)-、-C(O)-R、-S、-S(O)-R、-SR、-S-C(O)-R、-S(O)2R、-S(O)2、-N、-NH-R、-NR1R2、-N-C(O)-R、-NR1-C(O)-R2、-P(O)、-P(O)-OR和-P(O)-(OR)2;X选自亚烷基、亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基和亚芳基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基;各R、R1和R2各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;各n1和n2独立地为0-6的整数;当X是亚烷基、亚环烷基或亚芳基时,z是1-6的整数;当X是含有选自O、S、N和P原子中的一个或多个杂原子的亚环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的亚芳基时,z是0-5的整数;T选自-O、-S、-N和-P;Z选自H、-OR5、-N(R5)2和-SR5;各R3、R4和R5各自独立地选自H、烷基、环烷基或含有选自O、S、N和P原子中的一个或多个杂原子的环烷基和芳基或含有选自O、S、N和P原子中的一个或多个杂原子的芳基;m是0-6的整数,y是1-6的整数。
20.如权利要求19所述方法,其中在R-R5的定义中,烷基具有1-6个碳原子,芳香基具有3-14个碳原子。
21.如权利要求18所述的方法,其中腐蚀抑制溶剂选自:乙二醇、二甘醇、甘油、二甘醇二甲醚、单乙醇胺、二乙醇胺、三乙醇胺、N,N-二甲基乙醇胺、1-(2-羟乙基)-2-吡咯烷酮、4-(2-羟乙基)-吗啉、2-(甲基氨基)乙醇、2-氨基-2-甲基-1-丙醇、1-氨基-2-丙醇、2-(2-氨基乙氧基)-乙醇、N-(2-羟乙基)-乙酰胺、N-(2-羟乙基)-琥珀酰亚胺和3-(二乙基氨基)-1,2-丙二醇。
22.如权利要求13所述的方法,其中清洗组合物包括水或选自下列的有机溶剂:二甲基亚砜、环丁砜和二甲基哌啶酮。
23.如权利要求13所述的方法,其中清洗组合物包括四丁基氟化铵和1-(2-羟乙基)-2-吡咯烷酮的无水组合物。
24.如权利要求13所述的方法,其中清洗组合物包括四丁基氟化铵、二甲基亚砜、1-(2-羟乙基)-2-吡咯烷酮、水、三乙醇胺和苯并三唑。
25.如权利要求13所述的方法,其中敷铜包括将基本上的纯铜敷覆到基板上。
CNB028138775A 2001-07-09 2002-07-08 包含无氨氟化物盐的微电子清洗组合物 Expired - Fee Related CN100513545C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30403301P 2001-07-09 2001-07-09
US60/304,033 2001-07-09

Publications (2)

Publication Number Publication Date
CN1526008A true CN1526008A (zh) 2004-09-01
CN100513545C CN100513545C (zh) 2009-07-15

Family

ID=23174742

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028138775A Expired - Fee Related CN100513545C (zh) 2001-07-09 2002-07-08 包含无氨氟化物盐的微电子清洗组合物

Country Status (21)

Country Link
US (2) US7247208B2 (zh)
EP (1) EP1404795B1 (zh)
JP (1) JP4188232B2 (zh)
KR (1) KR101031926B1 (zh)
CN (1) CN100513545C (zh)
AT (1) ATE487785T1 (zh)
AU (1) AU2002316588A1 (zh)
BR (1) BR0210895A (zh)
CA (1) CA2452921C (zh)
DE (1) DE60238258D1 (zh)
DK (1) DK1404795T3 (zh)
ES (1) ES2358256T3 (zh)
IL (2) IL159760A0 (zh)
MY (1) MY143399A (zh)
NO (1) NO20040070L (zh)
PL (1) PL199393B1 (zh)
PT (1) PT1404795E (zh)
RS (1) RS51684B (zh)
TW (1) TWI281944B (zh)
WO (1) WO2003006599A1 (zh)
ZA (1) ZA200400065B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1847382B (zh) * 2005-04-13 2011-04-20 美格纳半导体有限会社 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
CN101481640B (zh) * 2008-01-10 2011-05-18 长兴开发科技股份有限公司 水性清洗组合物
CN101666984B (zh) * 2008-09-05 2012-08-22 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
CN102177230B (zh) * 2008-10-09 2014-02-19 安万托特性材料股份有限公司 用于去除铜的氧化物蚀刻残余物和防止铜电沉积的含水酸性制剂
CN110114856A (zh) * 2016-12-22 2019-08-09 花王株式会社 硅晶片用冲洗剂组合物
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
CN113302269A (zh) * 2019-01-15 2021-08-24 昭和电工株式会社 分解清洗组合物、粘接性聚合物的清洗方法及器件晶圆的制造方法
CN115427547A (zh) * 2020-04-09 2022-12-02 昭和电工株式会社 组合物、及粘接性聚合物的洗涤方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TW200428512A (en) * 2003-05-02 2004-12-16 Ekc Technology Inc Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
JP2007519942A (ja) * 2003-12-02 2007-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド レジスト、barc、およびギャップフィル材料を剥離する化学物質ならびに方法
CN101833251B (zh) 2004-02-11 2013-11-13 安万托特性材料股份有限公司 含有卤素含氧酸、其盐及其衍生物的微电子清洗组合物及清洗方法
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
JP4463054B2 (ja) * 2004-09-17 2010-05-12 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いた基板の処理方法
KR20060064441A (ko) * 2004-12-08 2006-06-13 말린크로트 베이커, 인코포레이티드 비수성 비부식성 마이크로전자 세정 조성물
KR101331747B1 (ko) * 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
JP2008541426A (ja) * 2005-05-06 2008-11-20 マリンクロッド・ベイカー・インコーポレイテッド エッチングおよび灰化後のフォトレジスト残渣およびバルクのフォトレジストを除去するための組成物
TWI282363B (en) * 2005-05-19 2007-06-11 Epoch Material Co Ltd Aqueous cleaning composition for semiconductor copper processing
CN101233456B (zh) 2005-06-07 2013-01-02 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
US20070151949A1 (en) * 2006-01-04 2007-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processes and apparatuses thereof
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20070219105A1 (en) * 2006-03-17 2007-09-20 Georgia Tech Research Corporation Ionic Additives to Solvent-Based Strippers
WO2008080097A2 (en) * 2006-12-21 2008-07-03 Advanced Technology Materials, Inc. Liquid cleaner for the removal of post-etch residues
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
TW200925268A (en) * 2007-12-06 2009-06-16 Mallinckrodt Baker Inc Fluoride-containing photoresist stripper or residue removing cleaning compositions containing conjugate oligomeric or polymeric material of alpha-hydroxycarbonyl compound/amine or ammonia reaction
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
US20090270299A1 (en) * 2008-04-23 2009-10-29 Nissan Chemical Industries, Ltd. Composition for removing protective layer in fabrication of MEMS and method for removing same
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
WO2010099017A2 (en) 2009-02-25 2010-09-02 Mallinckrodt Baker, Inc. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8110535B2 (en) 2009-08-05 2012-02-07 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning formulation for metal substrate and methods for using same
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
TWI516879B (zh) * 2009-09-09 2016-01-11 東友精細化工有限公司 形成銅系配線用光阻剝離劑組成物、使用其來製造半導體裝置及平板顯示器之方法
WO2011032629A1 (en) * 2009-09-18 2011-03-24 Merck Patent Gmbh Ink jet printable etching inks and associated process
TWI470119B (zh) * 2009-11-13 2015-01-21 Avantor Performance Mat Inc 用於氧化銅蝕刻殘留物之移除及避免銅電鍍之水相酸性調配物
EP2526156A1 (en) * 2010-01-21 2012-11-28 Sun Chemical Corporation Low-voc solvent systems
SG10201505535VA (en) 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
JP2012058273A (ja) * 2010-09-03 2012-03-22 Kanto Chem Co Inc フォトレジスト残渣およびポリマー残渣除去液組成物
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
US9546321B2 (en) 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
TWI572711B (zh) * 2012-10-16 2017-03-01 盟智科技股份有限公司 半導體製程用的清洗組成物及清洗方法
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
KR102080646B1 (ko) * 2013-04-12 2020-02-24 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 구리 및 티탄을 포함하는 다층막의 에칭에 사용되는 액체조성물, 및 이 조성물을 이용한 에칭방법, 다층막 배선의 제조방법, 기판
KR102261638B1 (ko) 2013-11-15 2021-06-08 삼성디스플레이 주식회사 세정제 조성물 및 이를 이용한 금속배선 제조방법
KR102157278B1 (ko) * 2015-03-19 2020-09-17 동우 화인켐 주식회사 포토레지스트 제거용 세정액 조성물
KR20230056682A (ko) * 2020-08-25 2023-04-27 바스프 에스이 에칭 후 잔류물을 제거하기 위한 조성물, 그것의 용도 및 프로세스

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
EP0301044A4 (en) 1987-02-05 1989-03-29 Macdermid Inc ETCHING COMPOSITION FOR PHOTORESERVE.
US5091103A (en) 1990-05-01 1992-02-25 Alicia Dean Photoresist stripper
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
WO1994006265A1 (de) 1992-09-03 1994-03-17 Circuit Chemical Products Gmbh Reinigungsmittelgemisch zum reinigen von gedruckten schaltungen und verfahren hierzu
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5478436A (en) 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
DE19543161A1 (de) 1995-11-18 1997-05-22 Basf Ag Verfahren zur Herstellung von verzweigten Polyamiden
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5989353A (en) 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
WO1998030677A1 (en) 1997-01-10 1998-07-16 The Scripps Research Institute Cataractogenesis and disruption of d3 connexin gene in mammals and methods of use
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6012469A (en) * 1997-09-17 2000-01-11 Micron Technology, Inc. Etch residue clean
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6432209B2 (en) * 1998-03-03 2002-08-13 Silicon Valley Chemlabs Composition and method for removing resist and etching residues using hydroxylazmmonium carboxylates
US6225030B1 (en) 1998-03-03 2001-05-01 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating method for substrates
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
CN100370360C (zh) 1998-05-18 2008-02-20 马林克罗特有限公司 用于清洗微电子衬底的含硅酸盐碱性组合物
US6043005A (en) * 1998-06-03 2000-03-28 Haq; Noor Polymer remover/photoresist stripper
JP2000147362A (ja) * 1998-11-18 2000-05-26 Seiko Epson Corp プリズムへの電気光学装置取り付け方法ならびに投写型表示装置の製造方法
US6103680A (en) 1998-12-31 2000-08-15 Arch Specialty Chemicals, Inc. Non-corrosive cleaning composition and method for removing photoresist and/or plasma etching residues
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
JP3372903B2 (ja) 1999-06-21 2003-02-04 ニチゴー・モートン株式会社 フォトレジスト剥離剤
US6562726B1 (en) 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP3410403B2 (ja) * 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6417147B2 (en) 2000-02-29 2002-07-09 Showa Denko K.K. Cleaning agent composition, method for cleaning and use thereof
AU2001278890A1 (en) * 2000-07-10 2002-01-21 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
WO2002045148A2 (de) 2000-11-29 2002-06-06 Infineon Technologies Ag Reinigungslösung für halbleiterscheiben im beol-bereich
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP2004538503A (ja) * 2001-07-13 2004-12-24 イーケーシー テクノロジー,インコーポレイティド スルホキシド−ピロリドン(ピロリジノン)−アルカノールアミン系剥離および洗浄組成物
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
RS50930B (sr) * 2002-06-07 2010-08-31 Avantor Performance Materials Inc. Kompozicije za mikroelektronsko čišćenje koje sadrže oksidatore i organske rastvarače
JP2004029346A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US7393819B2 (en) * 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
DK1664935T3 (da) * 2003-08-19 2008-01-28 Mallinckrodt Baker Inc Rensesammensætninger til mikroelektronik
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
SG150509A1 (en) * 2004-03-01 2009-03-30 Mallinckrodt Baker Inc Nanoelectronic and microelectronic cleaning compositions
JP4456424B2 (ja) * 2004-06-29 2010-04-28 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去組成物
EP1701218A3 (en) * 2005-03-11 2008-10-15 Rohm and Haas Electronic Materials LLC Polymer remover
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) * 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
TW200722505A (en) * 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
JP2009075285A (ja) * 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1847382B (zh) * 2005-04-13 2011-04-20 美格纳半导体有限会社 用于清洗半导体器件的组合物及利用该组合物清洗半导体器件的方法
CN101481640B (zh) * 2008-01-10 2011-05-18 长兴开发科技股份有限公司 水性清洗组合物
CN101666984B (zh) * 2008-09-05 2012-08-22 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
CN102177230B (zh) * 2008-10-09 2014-02-19 安万托特性材料股份有限公司 用于去除铜的氧化物蚀刻残余物和防止铜电沉积的含水酸性制剂
CN110114856A (zh) * 2016-12-22 2019-08-09 花王株式会社 硅晶片用冲洗剂组合物
CN110114856B (zh) * 2016-12-22 2023-12-05 花王株式会社 硅晶片用冲洗剂组合物
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
US11149235B2 (en) 2018-07-20 2021-10-19 Entegris, Inc. Cleaning composition with corrosion inhibitor
CN113302269A (zh) * 2019-01-15 2021-08-24 昭和电工株式会社 分解清洗组合物、粘接性聚合物的清洗方法及器件晶圆的制造方法
CN115427547A (zh) * 2020-04-09 2022-12-02 昭和电工株式会社 组合物、及粘接性聚合物的洗涤方法

Also Published As

Publication number Publication date
US20070232513A1 (en) 2007-10-04
PT1404795E (pt) 2011-02-15
US20040149309A1 (en) 2004-08-05
NO20040070L (no) 2004-03-09
CN100513545C (zh) 2009-07-15
TWI281944B (en) 2007-06-01
IL159760A0 (en) 2004-06-20
CA2452921C (en) 2010-10-19
KR101031926B1 (ko) 2011-04-29
ES2358256T3 (es) 2011-05-09
ZA200400065B (en) 2005-04-01
RS51684B (en) 2011-10-31
CA2452921A1 (en) 2003-01-23
DK1404795T3 (da) 2011-02-21
PL199393B1 (pl) 2008-09-30
ATE487785T1 (de) 2010-11-15
US7718591B2 (en) 2010-05-18
EP1404795A1 (en) 2004-04-07
JP4188232B2 (ja) 2008-11-26
US7247208B2 (en) 2007-07-24
ES2358256T8 (es) 2011-10-11
PL368044A1 (en) 2005-03-21
BR0210895A (pt) 2004-06-22
AU2002316588A1 (en) 2003-01-29
IL159760A (en) 2006-10-31
KR20040019046A (ko) 2004-03-04
EP1404795B1 (en) 2010-11-10
MY143399A (en) 2011-05-13
DE60238258D1 (de) 2010-12-23
JP2005500408A (ja) 2005-01-06
WO2003006599A1 (en) 2003-01-23
RS1004A (en) 2007-02-05

Similar Documents

Publication Publication Date Title
CN100513545C (zh) 包含无氨氟化物盐的微电子清洗组合物
CN100410359C (zh) 具有改进的基板相容性的无氨碱性微电子清洗组合物
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
US8440599B2 (en) Composition for stripping and cleaning and use thereof
CN1659481A (zh) 包含氧化剂和有机溶剂的微电子清洁组合物
KR20110004341A (ko) 에칭 후 포토레지스트, 에칭 중합체 및 잔류물을 제거하기 위한 아세탈 또는 케탈 함유 스트립퍼
JP2006096984A (ja) 残留物を除去するための組成物及び方法
JP2007188082A (ja) フォトレジスト残渣を除去するためのpHバッファー水性クリーニング組成物およびその方法
CN1920671A (zh) 光致抗蚀剂残渣、聚合物残渣除去组合物和残渣除去方法
CN1526007A (zh) 具有改进的基板相容性的无氨碱性微电子清洗组合物
JP2004526981A (ja) フォトレジスト除去剤組成物
JP3742624B2 (ja) フッ化アンモニウムを含有するフォトレジスト除去剤組成物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: ANWANTUO SPECIAL MATERIAL CO., LTD.

Free format text: FORMER NAME: MALLINCKRODT BAKER, INC.

CP01 Change in the name or title of a patent holder

Address after: new jersey

Patentee after: Anwantuo Spcial Materials Co., Ltd.

Address before: new jersey

Patentee before: Mallinckrodt Baker, Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170508

Address after: American Pennsylvania

Patentee after: Anwantuo materials limited liability company

Address before: new jersey

Patentee before: Anwantuo Spcial Materials Co., Ltd.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090715

Termination date: 20170708