CN1449578A - 在有机硅酸盐玻璃中腐蚀双波纹结构的方法 - Google Patents

在有机硅酸盐玻璃中腐蚀双波纹结构的方法 Download PDF

Info

Publication number
CN1449578A
CN1449578A CN01814890A CN01814890A CN1449578A CN 1449578 A CN1449578 A CN 1449578A CN 01814890 A CN01814890 A CN 01814890A CN 01814890 A CN01814890 A CN 01814890A CN 1449578 A CN1449578 A CN 1449578A
Authority
CN
China
Prior art keywords
corrosion
dielectric
layer
wafer
raceway groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01814890A
Other languages
English (en)
Other versions
CN1199258C (zh
Inventor
J·M·弗兰纳
I·莫里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1449578A publication Critical patent/CN1449578A/zh
Application granted granted Critical
Publication of CN1199258C publication Critical patent/CN1199258C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于在晶片中形成双波纹腐蚀结构的方法,和根据该方法形成的半导体器件。本发明利用两步腐蚀工艺来形成有机硅酸盐电介质层中的双波纹结构。根据本发明的一个实施例,利用第一低选择性腐蚀剂来进行第一腐蚀步骤,第一低选择性腐蚀剂腐蚀完全通过沟道电介质(8)并几乎完全通过通孔电介质(12),余下阻挡层上通孔电介质的小量残余,阻挡层保护由阻挡层(14)所保护的金属化物体。第一腐蚀步骤之后,利用第二高选择性腐蚀剂来执行第二腐蚀步骤。进行第二腐蚀步骤而对阻挡层几乎没有破坏。本发明的可选实施例考虑了“沟道在先”腐蚀策略。

Description

在有机硅酸盐玻璃中腐蚀双波纹结构的方法
发明领域
本发明涉及半导体制造。尤其是,本发明教导了一种新的方法,其用于在包括至少一个低K介电层的半导体晶片中形成双波纹结构。
发明背景
集成电路使用介电层来绝缘半导体结构的不同层上的导线,介电层通常由二氧化硅(SiO2)形成。由于半导体电路变得更加快速和小型化,所以工作频率加快,半导体器件内的导线之间的距离减小。这增大了电路的耦合电容的等级,其缺点是使半导体器件的运行变慢。因而,用能有效绝缘导线的介电层来对抗这种增大的耦合电容等级就很重要了。
通常,集成电路中的耦合电容与用于形成介电层的材料的介电常数K成正比。如上所述,传统集成电路中的介电层一般由SiO2形成,SiO2的介电常数约为4.0。半导体器件中的线密度和工作频率增加的结果是,SiO2形成的介电层可能无法有效地将导线绝缘到避免增大耦合电容等级所要求的程度。
希望降低集成电路中的耦合电容等级,半导体工业力求开发出介电常数低于SiO2的材料,这些材料适合用于形成集成电路中的介电层。迄今为止,已经开发出了大量有前途的材料,它们有时称为“低K材料”。许多这种新材料是有机化合物。
一类有趣的有机低K材料是包括硅酸盐玻璃的化合物。用实例的方式而非限制,这种有机硅酸盐电介质包括:来自Novellus of SanJose,CA的CORALTM;来自Applied Materials of Santan Clara,CA的Black DiamondTM;以及可从Sumitomo ChemicalAmerica,Inc.,Santa Clara,CA得到的Sumika Film
在半导体晶片处理期间,用周知的图形化和腐蚀工艺在晶片中定义了半导体器件的特征。在这些工艺中,可以在晶片上淀积光致抗蚀剂材料,然后可以曝光于由光栅过滤的光。光栅可以是以示例特征几何形状来图形化的玻璃板,示例特征几何形状阻挡光通过光栅传播。
用于有机硅酸盐玻璃低K膜(诸如CORALTM)的有效腐蚀工艺开发应当考虑几个标准,诸如腐蚀速度、轮廓控制、底层材料选择以及临界尺寸(CD)控制。低K介电材料的腐蚀如同腐蚀硅基电介质一样是第一步。这还没有证明是很有效,由于用有机低K膜时,有效腐蚀材料所需的化学处理和工艺基本上与传统的硅或氧化硅腐蚀不同。这证明腐蚀有机硅酸盐玻璃低K膜有更多的问题。
一般用与半导体制造工艺中所使用的其它材料类似化学成分的腐蚀气流来腐蚀有机硅酸盐玻璃低K膜。这可能导致难以制造这种器件。有一种结构是双波纹结构,已经证明当实现有机硅酸盐玻璃低K介电膜时,难以在小部件尺寸和高部件密度的晶片中实现这种结构。这种双波纹结构包括一个互连层以及一个或多个通孔,以提供与底层导电特征的电连接,尤其是金属化特征的电连接。
所希望的是用于在晶片内形成双波纹腐蚀结构的方法,这里,在低K有机硅酸盐玻璃电介质内形成至少部分波纹装饰,同时可靠地维持部件尺寸和密度。
最终希望的是可以用现有设备和化学处理实现的方法,同时可靠地在有机硅酸盐玻璃低K电介质内形成双波纹。
阅读下面的详细描述并参研附图,本发明的这些和其它优点将很明显。
发明概述
为了实现预期优点,如上所述,本发明教导了一种在晶片中形成双波纹腐蚀结构的方法,这里,晶片包括至少一层有机硅酸盐玻璃电介质。本发明所教导的新方法包括利用第一低选择性腐蚀剂来腐蚀通过有机硅酸盐玻璃电介质的主要部分的步骤。该腐蚀步骤在阻挡层上留下很小的有机硅酸盐玻璃电介质的残余部分。而后,本发明用第二高选择性腐蚀剂腐蚀掉有机硅酸盐玻璃电介质的残余部分。
附图说明
为了更完全地理解本发明,在下文对最佳实施例的详细描述中参考附图。附图中:
图1是实现“通孔在先”腐蚀策略的两阶段通孔腐蚀工艺的总体流程图。
图2是将两阶段通孔腐蚀实现成通孔在先双波纹腐蚀的本发明一个实施例的流程图。
图3是淀积光致抗蚀剂层之后示例晶片层叠体的截面图。
图4是腐蚀抗反射覆层之后示例晶片层叠体的截面图。
图5是腐蚀抗反射覆层和帽层之后示例晶片堆栈的截面图。
图6是用第一低选择性腐蚀剂腐蚀抗反射覆层、帽层、第一介电层、沟道终止层以及大部分第二介电层之后,示例晶片堆栈的截面图。
图7是用第二高选择性腐蚀剂腐蚀掉所有第二介电层之后图6的示例晶片堆栈的截面图。
图8是剥离第一光致抗蚀剂层之后图7的示例晶片堆栈的截面图。
图9是淀积第二光致抗蚀剂之后图8的示例晶片堆栈的截面图。
图10抗反射覆层的第二腐蚀之后图9的示例晶片堆栈的截面图。
图11是抗反射覆层和帽层的第二腐蚀之后图10的示例晶片堆栈的截面图。
图12是用第一低选择性腐蚀剂对反射覆层、帽层、第一介电层的第二腐蚀之后,示例晶片堆栈的截面图。
图13是光致抗蚀剂层的第二剥离之后图12的示例晶片堆栈的截面图;
图14是淀积涂覆在通孔和沟道腐蚀的壁上的阻挡层以及腐蚀掉阻挡层以曝露底层结构之后,图13的示例晶片堆栈的截面图。
图15是示例晶片堆栈的截面图,其中,第二次实施抗反射覆层已经导致在通孔腐蚀的基底形成栓塞(plug)。
图16是实现“沟道在先”腐蚀策略的本发明的另一两阶段通孔腐蚀工业的总体流程图。
图17是淀积光致抗蚀剂层,再腐蚀掉第一介电层和覆盖它的层之后示例晶片堆栈的截面图。
图18是淀积第二光致抗蚀剂层之后图17的示例晶片堆栈的截面图。
图19是用第一低选择性腐蚀剂腐蚀掉大部分第二介电层之间图18的示例晶片堆栈的截面图。
图20是去除几层或施加光致抗蚀剂之后图19的示例晶片堆栈的截面图。
图21是淀积涂覆在通孔和沟道腐蚀的壁上的阻挡层以及腐蚀掉阻挡层以曝露底层结构之后,图20的示例晶片堆栈的截面图。
图22是将两阶段通孔腐蚀实现到沟道在先双波纹腐蚀的本发明的一个实施例的流程图。
在所有附图中附图标记指本发明的相同或等效的部分。
具体实施方式
下文对本发明几个实施例的讨论给出了多种替换例,用于在包括有机硅酸盐电介质的晶片中形成双波纹腐蚀结构。通常在合适的晶片处理设备中实施本文中所公开的工艺,所述晶片处理设备包括腐蚀系统。一种尤其适于实践本发明的腐蚀系统是可从Lam ResearchCorporation,Fremont,CA得到的ExelanTM双频电介质腐蚀系统。Exelan就设计成专门提供先进的处理性能。Exelan的大处理范围包括掺杂和非掺杂氧化物以及低K电介质中所有的双波纹结构以及接点、通孔、间隔体和钝化腐蚀。
现在看图1,显示了本发明的工艺的概况。本发明可用于多种半导体实施。以此为例,采用在上面形成晶片堆栈的硅衬底。晶片堆栈包括至少一层OSG电介质,通过它,预期形成例如双波纹结构,尤其是双波纹结构。因此,首先在步骤102,利用低选择性腐蚀剂来腐蚀通过OSG沟道电介质和大部分OSG通孔电介质,以及沟道和通孔电介质之间的任何一层。在步骤104,将第一低选择性腐蚀剂变为第二高选择性腐蚀剂。而后,在步骤106,腐蚀掉残余OSG通孔电介质。
本发明的一个实施例考虑利用上文讨论的两步骤腐蚀工艺作为通孔在先双波纹流的一部分。参考图2,显示一个这种实施例的工艺。淀积晶片堆栈的几层之后,在步骤202,用已知图形化技术,例如光致抗蚀剂图形化成通孔。而后,在步骤204,腐蚀开抗反射覆层或ARC和帽层。本文中所使用的术语抗反射覆层具体包括底抗反射覆层,BARC。在通孔腐蚀之后,参考图1执行上述102。在步骤206,剥离能图形化通孔的第一光致抗蚀剂。在208,执行第二图形化步骤,图形化双波纹结构的沟道部分。此外,根据半导体制造中的已知原则实施图形化,包括施加光致抗蚀剂但不限于此。在步骤210,腐蚀开沟道抗反射覆层和帽。而后,在步骤212,腐蚀双波纹结构的沟道部分。在步骤214,实施第二光致抗蚀剂剥离,去除沟道图形化步骤208所应用的光致抗蚀剂。最后,在步骤216,腐蚀掉阻挡层。
继续参考图2和图3-14,进一步说明本发明的这一实施例。在图3,显示了示例晶片堆栈100。晶片堆栈100包括施加在抗反射覆层4上的图形化光致抗蚀剂的层2,抗反射覆层4已经又加到帽层6上。适合的光致抗蚀剂包括深紫外光致抗蚀剂,但不限于此。深紫外光光致抗蚀剂的一个来源是Arch Chemicals of North Kingston,RI。在这一示例的有机硅酸盐电介质的层中,帽层6加到第一介电层8上。用实例的方式而非限制,这种有机硅酸盐电介质包括来自Novellus ofSan Jose,CA的CORALTM;来自Applied Materials of Santan Clara,CA的Black DiamondTM;来自Allied Signal of Morristown的HOSPTM;以及可从Sumitomo Chemical America,Inc.,Santa Clara,CA得到的Sumika Film。也是为了说明而非限制,本发明考虑使用SiN作为帽层。
也是在这一示例有机硅酸盐电介质的层中,沟道终止层10将第一介电层8与第二介电层12分开。形成沟道终止层10的适当材料包括TiN、SiN、四乙基原硅酸盐或TEOS等,但不限于这些材料。阻挡层14将第二介电层12与其下面的晶片结构分开。也是为了说明而非限制,本发明考虑使用SiC阻挡层。本实例中,第二介电层12下面的结构是晶片的硅衬底16。也在该图中显示了形成在阻挡层14下面的导电元件18。本文中所使用的术语“金属化物体”指所有这种导电元件,包括电互连、金属化层和半导体元件,但不限于这些。对于本领域的技术人员,很明显,可以在晶片的其它功能层上实现有相等功能的从元件2到元件14的晶片结构。本发明的原理不仅专门考虑了这种替换例,而且考虑了本领域一般的技术人员所周知的所有这种替换堆栈布置。
图3中表示的实例包括可选的沟道终止层10。本文中具体显示的原理能实现本发明的实施例,其中,沟道电介质8和通孔电介质12形成为电介质的单个均一层而没有沟道终止层10。本实施例中形成双波纹结构的方法将在后面解释。
也在图3中显示了图形化光致抗蚀剂2的层。在根据本发明的该实施例形成半导体器件时,已经按照图2所示的步骤202形成了该结构。在步骤202图形化之后,可以采取几个进一步腐蚀步骤中的一个步骤。按照一个这种替换例,如图4所示,抗反射覆层4已经用腐蚀步骤打开。本实例中,利用合理地专用于抗反射覆层的腐蚀剂,例如Ar/N2/O2来腐蚀选择性腐蚀抗反射覆层。可以用替换的ARC腐蚀剂来完成将抗反射覆层腐蚀掉。按照另一替换例,可以实现腐蚀,去除抗反射覆层4和光致抗蚀剂2的开口部分下面的帽层6的步骤。图5显示了该腐蚀步骤的结果。可以相对于该图考虑进一步的替换例。根据该替换例,在一个腐蚀步骤中清除或打开抗反射覆层4和帽层6。在又一替换例中,考虑一种在一个步骤中腐蚀掉一块介电层、插在中间的多个层以及帽层的腐蚀剂。
参考图6,完成步骤102所示工艺能使用的后面的实施例。低选择性腐蚀剂已经腐蚀通过帽层6、沟道介电层8、可选的沟道终止层10以及通过通孔介电层12的大部分通路,余下很小的通孔介电层12的残余部分,并形成通孔腐蚀20。适于CORALTM的低选择性腐蚀剂包括Ar/N2/CF4、Ar/N2/C2/F6和Ar/N2/C9F8/O2,但不限于这些。如A所示,通孔介电层12的一个薄层,最好1微米厚,厚度在约100到约4000范围内更好,厚度在1000到2000范围内尤其好。
在根据本发明的通孔腐蚀步骤时,执行步骤104,腐蚀气流从第一低选择性腐蚀剂变化为第二高选择性腐蚀剂。对于有机硅酸盐玻璃有高度选择性且与SiC反应相对小的腐蚀气体混合物的实例包括Ar/N2/C4F8,但不限于此。而后,用高选择性腐蚀剂执行步骤106,腐蚀掉图6中A所示的通孔介电层12的剩余厚度。该腐蚀步骤由阻挡层14所停止,如图7中22所示损失最小。最好是用剩余厚度最小为400的阻挡层14来完成腐蚀步骤106。余下500-700的剩余厚度的阻挡层14更好。腐蚀步骤之后最小厚度1000的阻挡层尤其好。当然,一点都不损失阻挡层材料是最佳的。这时,完成工艺1。
这样,解释了本发明的原理所教导的新的两步骤通孔腐蚀方法的一些优点。如上所述,沟道终止层10和阻挡层14常常由等同或至少化学上类似的材料形成,包括上述SiC,但不限于SiC。由与沟道终止层相同材料形成的阻挡层无法停止对沟道终止层10足够不敏感的腐蚀步骤。在今天的半导体制造商所要求的高生产量的腐蚀系统中,利用这种不敏感腐蚀一直到通孔腐蚀结构的底部要冒完全腐蚀通过阻挡层14并腐蚀到阻挡层14底层的结构、特征或元件(例如金属化物体18)中的高度危险性。这种不利条件可以造成底层金属或金属离子(包括铜和铜离子,图未示出)意外扩散到沟道介电层8和第二介电层12的至少一个中。对于本领域的一般技术人员周知的是,在介电层中的这种含金属成份(包括铜或含铜化合物,但不限于此)的“毒害“可以破坏晶体管效应,而这种晶体管效应是制造半导体器件的全部目的。
现在参考图8,显示了完成步骤206之后的晶片100,其中,已经从晶片堆栈去除了第一光致抗蚀剂层。而后,如图9所示,通过施加第二光致抗蚀剂层30来图形化双波纹结构的沟道部分。这对应于步骤208。此外,可以根据上述实施例腐蚀方法继续腐蚀通过抗反射覆层4和帽层6。这些方法包括所述策略,从而如图10所示单独腐蚀抗反射覆层4,如图11所示一起腐蚀抗反射覆层4和帽层6,或者,同时实施抗反射覆层4、帽层6和沟道介电层8的腐蚀。任何情况下,得到的腐蚀结构都如图12所示,相应于步骤212。
在图13,显示了剥离第二光致抗蚀剂层30之后的晶片100,相应于步骤214。
在图14,显示了完成阻挡层腐蚀步骤216之后的晶片100。适当的阻挡层腐蚀气体混合物包括Ar/CF4/CHF3,但不限于此。在B腐蚀通过阻挡层14之后,导电阻挡层覆层42加到沟道腐蚀40和通孔腐蚀20的表面上。它通常是由TaN形成的,虽然可以用其它本领域一般技术人员所周知的导电阻挡层覆层材料来代替。这时,按照步骤106完成本发明实施的双波纹腐蚀,可以用在本发明原理所实施的双波纹腐蚀中形成的互连来电连接金属化物体18。
参考图15考虑本发明的另一实施例。本实施例考虑了一种使抗反射覆层4的意外去除最小的方法,作为在剥离步骤206去除第一光致抗蚀剂层2的结果。出现这一情况时,有必要补充抗反射覆层,可以重新施加该层,如在52那样,第二光致抗蚀剂30加在新淀积的抗反射覆层52上。抗反射覆层52的淀积导致在通孔腐蚀20的底部意外淀积抗反射材料的栓塞54。最好在上述沟道腐蚀步骤212期间腐蚀掉栓塞42,或者,可以在剥离第二光致抗蚀剂30时去除栓塞42。或者,可以增加一个单独的利用Ar/N2/O2流的腐蚀步骤。
本发明的又一实施例实现了单层的有机硅酸盐电介质,它不被沟道终止层分开。形成本实施例时,在预定时期之后停止沟道的各向同性腐蚀,导致形成了沟道通孔腐蚀结构。
上文以本发明的一个实施例为中心,其中,在沟道腐蚀106之前执行双波纹腐蚀的通孔腐蚀步骤102。本实施例实现了所谓的“通孔在先”腐蚀策略。与其不同的是,本发明还考虑了一种策略,从而先执行沟道腐蚀步骤。在图16概括了所谓的“沟道在先”腐蚀策略。
在步骤302,利用第一低选择性腐蚀剂来腐蚀通过有机硅酸盐沟道电介质以及沟道和通孔电介质之间的任何层。在步骤304,重新图形化晶片用于沟道腐蚀步骤。在步骤308,从第一低选择性腐蚀剂变为第二高选择性腐蚀剂。而后,在步骤310,利用第二高选择性腐蚀剂来腐蚀残余有机硅酸盐通孔电介质。这时,已经将沟道和通孔结构腐蚀在晶片上。
参考图17到22进一步说明这些步骤。现在参考图22,显示本实施例的工艺步骤。在步骤400,图形化沟道,例如通过淀积光致抗蚀剂层来实施。而后,在步骤402,通过腐蚀来打开沟道抗反射覆层和帽。在步骤404,利用第一高选择性腐蚀剂来腐蚀沟道,在沟道终止层10上停止其腐蚀。而后,在步骤406,通过向沟道底部施加光致抗蚀剂层来图形化通孔。在步骤406淀积通孔图形之后,在408腐蚀通孔,再次使用第二低选择性腐蚀剂。而后,在步骤410,剥离在步骤400或406中至少一个期间施加光致抗蚀剂,利用已知的光致抗蚀剂剥离方法。最后,在步骤412,腐蚀阻挡层。
现在参考图17,已经形成晶片堆栈100,利用第一高选择性腐蚀剂在其中腐蚀沟道40。如相对于本发明的另一实施例所述的那样,可以用单个腐蚀步骤,或能同时腐蚀多层的多个腐蚀步骤,执行腐蚀抗反射覆层4、帽层6和沟道电介质8的步骤。这相应于图22所示的步骤400到404。
现在参考图18,显示步骤405和406。在步骤405,剥离光致抗蚀剂2,如上所述。在步骤406,向沟道40的底部实施光致抗蚀剂300的第二次施加,这对本发明的原理启用的双波纹腐蚀的通开部分进行图形化。
淀积光致抗蚀剂300之后,再次利用第一低选择性腐蚀剂来腐蚀掉通孔电介质12的主要部分,如图19所述。相应于步骤408的该步骤在腐蚀步骤之后将通孔电介质12的步骤留在原位A处。剩余的通孔电介质的量大致如上所述。
现在参考图20,腐蚀步骤408,已经执行了第二光致抗蚀剂剥离步骤410,从沟道40的底部去除光致抗蚀剂300。
在图21,已经完成了阻挡层腐蚀步骤412,从通孔20的底部去除阻挡层14。而后,将一层导电TaN阻挡层覆层42加到完成后的双波纹腐蚀的侧壁和底部。现在完成双波纹腐蚀,可以继续进一步淀积导电元件。这通常是通过敷设铜晶种再淀积/充满Cu来执行的。
本发明的优选实施例显示并描述了本发明。然而,对本领域的一般技术人员来讲很明显,可以在形式和细节上进行多种改变和修改而不背离所附的权利要求书所说明的本发明的精神和范围。尤其是,本发明的原理具体考虑到包括本文中所教导的一个或多个关于半导体器件的特征和优点,进一步考虑到实现层构成的宽阵列、适当的腐蚀化学处理、腐蚀剂流率、腐蚀次数、反应容器压力和等离子功率电平。利用本发明的原理可以具体想到每个替换例。
权利要求书
(按照条约第19条的修改)
1.一种用于在晶片中形成双波纹腐蚀结构的方法,该晶片包括一层有机硅酸盐玻璃电介质,该方法包括下述步骤:
第一,利用第一低选择性腐蚀剂腐蚀通过有机硅酸盐玻璃电介质的主要部分,第一腐蚀步骤余下有机硅酸盐玻璃电介质的残余部分;和
第二,利用第二高选择性腐蚀剂腐蚀掉有机硅酸盐玻璃电介质的残余部分。
2.根据权利要求1所述的方法,其中,所述晶片还包括有机硅酸盐玻璃电介质下面的金属化物体,金属化物体和有机硅酸盐玻璃电介质由一阻挡层分开,该方法包括下述步骤:
第二腐蚀步骤之后,腐蚀通过部分阻挡层到金属化物体。
3.根据权利要求1-2中任何一项所述的方法,其中,有机硅酸盐玻璃电介质的主要部分还包括沟道电介质和大部分通孔电介质,残余部分还包括通孔电介质的残余部分。
4.根据权利要求1-3中任何一项所述的方法,用于在晶片上形成通孔在先双波纹结构,该晶片还包括施加到有机硅酸盐玻璃电介质层上的一层抗反射覆层和一帽层,在第一腐蚀步骤之前,该方法还包括下述步骤:
用光致抗蚀剂在晶片的项部上图形化;和
腐蚀开抗反射覆层和帽层;
5.根据权利要求4所述的方法,在第二腐蚀步骤之后还包括下述步骤:
剥离光致抗蚀剂;
用第二光致抗蚀剂在晶片的顶部上图形化一沟道;和
腐蚀所述沟道,使之部分通过有机硅酸盐电介质。
6.根据权利要求3-5所述的方法,还包括下述步骤:
形成第一有机硅酸盐电介质层和第二有机硅酸盐电介质层的有机硅酸盐电介质,第一和第二有机硅酸盐电介质层由沟道终止层分开;和
用沟道终止层停止沟道的腐蚀。
7.根据权利要求1-6中任何一项所述的方法,用于包括有机硅酸盐电介质下面的阻挡层的晶片,该方法还包括在第二腐蚀步骤之后腐蚀通过阻挡层的步骤。
8.根据权利要求1-7中任何一项所述的方法,其中,从包括Ar/N2/CF4/、Ar/N2/C2/F6和Ar/N2/C9F8/O2的腐蚀剂混合物组中选择低选择性腐蚀剂。
9.根据权利要求1-8中任何一项所述的方法,其中,高选择性腐蚀剂是Ar/N2/C4F8
10.根据权利要求1-9中任何一项所述的方法,其中,有机硅酸盐电介质的主要部分包括大部分沟道电介质和部分通孔电介质,残余部分还包括大部分通孔电介质。
11.一种具有晶片中的双波纹结构的半导体器件,这里晶片包括一层有机硅酸盐玻璃电介质,其中,双波纹结构的形成方法包括步骤:
第一,利用第一低选择性腐蚀剂腐蚀通过有机硅酸盐玻璃电介质的主要部分,第一腐蚀步骤余下有机硅酸盐玻璃电介质的残余部分;和
第二,利用第二高选择性腐蚀剂腐蚀掉有机硅酸盐玻璃电介质的残余部分。
12.根据权利要求11所述的半导体器件,其中,所述晶片还包括有机硅酸盐玻璃电介质下面的金属化物体,金属化物体和有机硅酸盐玻璃电介质由一阻挡层分开,该方法还包括下述步骤:
第二腐蚀步骤之后,腐蚀通过部分阻挡层到金属化物体。
13.根据权利要求11-12中任何一项所述的半导体器件,其中,有机硅酸盐玻璃电介质的主要部分还包括沟道电介质和大部分通孔电介质,残余部分还包括通孔电介质的残余部分。
14.根据权利要求11-13中任何一项所述的半导体器件,还包括施加到有机硅酸盐玻璃电介质层上的一层抗反射覆层和一帽层,第一腐蚀步骤之前,该方法还包括下述步骤:
用光致抗蚀剂在晶片的顶部上图形化一通孔;和
腐蚀开所述抗反射覆层和帽层。
15.根据权利要求14所述的半导体器件,第二腐蚀步骤之后,该方法还包括步骤:
剥离光致抗蚀剂;
用第二光致抗蚀剂在晶片的顶部上图形化一沟道;和
腐蚀所述沟道,使之部分通过有机硅酸盐电介质。
16.根据权利要求13-15所述的半导体器件,该方法还包括下述步骤:
形成第一有机硅酸盐电介质层和第二有机硅酸盐电介质层的有机硅酸盐电介质,第一和第二有机硅酸盐电介质层由一沟道终止层分开;和
用沟道终止层停止沟道的腐蚀。
17.根据权利要求11-16中任何一项所述的半导体器件,包括有机硅酸盐电介质下面的阻挡层,第二腐蚀步骤之后,该方法还包括腐蚀通过阻挡层的步骤。
18.根据权利要求11-17中任何一项所述的半导体器件,其中,从由Ar/N2/CF4/、Ar/N2/C2/F6和Ar/N2/C9F8/O2组成的腐蚀剂混合物组中选择低选择性腐蚀剂。
19.根据权利要求11-18中任何一项所述的半导体器件,其中,高选择性腐蚀剂是Ar/N2/C4F8的混合物。
20.根据权利要求11-19中任何一项所述的半导体器件,其中,有机硅酸盐玻璃电介质的主要部分包括大部分沟道电介质和部分通孔电介质,残余部分还包括大部分通孔电介质。

Claims (10)

1.一种用于在晶片中形成双波纹腐蚀结构的方法,该晶片包括一层有机硅酸盐玻璃电介质,该方法包括下述步骤:
第一,利用第一低选择性腐蚀剂腐蚀通过大部分有机硅酸盐玻璃电介质,该第一腐蚀步骤余下有机硅酸盐玻璃电介质的残余部分;和
第二,利用第二高选择性腐蚀剂腐蚀掉有机硅酸盐玻璃电介质的残余部分。
2.根据权利要求1所述的方法,其中,所述晶片还包括有机硅酸盐玻璃电介质下面的金属化物体,金属化物体和有机硅酸盐玻璃电介质由一阻挡层分开,该方法包括下述步骤:
第二腐蚀步骤之后,腐蚀通过部分阻挡层到金属化物体。
3.根据权利要求1-2中任何一项所述的方法,其中,有机硅酸盐玻璃电介质还包括沟道电介质和大部分通孔电介质,其中,残余部分还包括通孔电介质的残余部分。
4.根据权利要求1-3中任何一项所述的方法,用于在晶片上形成通孔在先双波纹结构,该晶片还包括施加到有机硅酸盐层上的一层抗反射覆层和一帽层,在第一腐蚀步骤之前,该方法还包括步骤:
用光致抗蚀剂在晶片的顶部上图形化一通孔;和
腐蚀开抗反射覆层和帽层;
5.根据权利要求4所述的方法,在第二腐蚀步骤之后还包括下述步骤:
剥离光致抗蚀剂;
用第二光致抗蚀剂在晶片的顶部上图形化一沟道;和
腐蚀沟道,使之部分通过有机硅酸盐电介质。
6.根据权利要求1-5中任何一项所述的方法,还包括步骤:
形成第一有机硅酸盐电介质层和第二有机硅酸盐电介质层的有机硅酸盐电介质,第一和第二有机硅酸盐电介质层由沟道终止层分开;和
用沟道终止层停止沟道的腐蚀。
7.根据权利要求1和3-6中任何一项所述的方法,用于包括有机硅酸盐电介质下面的阻挡层的晶片,该方法还包括在第二腐蚀步骤之后腐蚀通过阻挡层的步骤。
8.根据权利要求1-7中任何一项所述的方法,其中,从包括Ar/N2/CF4、Ar/N2/C2/F6和Ar/N2/C9F8/O2的腐蚀剂混合物组中选择第一低选择性腐蚀剂。
9.根据权利要求1-8中任何一项所述的方法,其中,第二高选择性腐蚀剂是Ar/N2/C4F8
10.根据权利要求1-3和5-9中任何一项所述的方法,用于形成沟道在先双波纹结构,该方法还包括在第一腐蚀之后和第二腐蚀之前重新图形化用于沟道腐蚀的掩膜的步骤。
CNB018148905A 2000-06-30 2001-06-08 在有机硅酸盐玻璃中腐蚀双金属镶嵌结构的方法 Expired - Lifetime CN1199258C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/608,119 2000-06-30
US09/608,119 US6410437B1 (en) 2000-06-30 2000-06-30 Method for etching dual damascene structures in organosilicate glass

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100543314A Division CN100481432C (zh) 2000-06-30 2001-06-08 具有晶片中的双金属镶嵌结构的半导体器件

Publications (2)

Publication Number Publication Date
CN1449578A true CN1449578A (zh) 2003-10-15
CN1199258C CN1199258C (zh) 2005-04-27

Family

ID=24435120

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2005100543314A Expired - Fee Related CN100481432C (zh) 2000-06-30 2001-06-08 具有晶片中的双金属镶嵌结构的半导体器件
CNB018148905A Expired - Lifetime CN1199258C (zh) 2000-06-30 2001-06-08 在有机硅酸盐玻璃中腐蚀双金属镶嵌结构的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2005100543314A Expired - Fee Related CN100481432C (zh) 2000-06-30 2001-06-08 具有晶片中的双金属镶嵌结构的半导体器件

Country Status (9)

Country Link
US (1) US6410437B1 (zh)
EP (1) EP1295330A2 (zh)
JP (1) JP5178983B2 (zh)
KR (1) KR100787847B1 (zh)
CN (2) CN100481432C (zh)
AU (1) AU2001266798A1 (zh)
SG (1) SG145555A1 (zh)
TW (1) TW516176B (zh)
WO (1) WO2002003454A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101779280B (zh) * 2007-08-09 2013-04-17 国际商业机器公司 多层互连的波纹界面
CN108511448A (zh) * 2018-03-23 2018-09-07 上海华虹宏力半导体制造有限公司 半导体结构的形成方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6531407B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US20020064951A1 (en) * 2000-11-30 2002-05-30 Eissa Mona M. Treatment of low-k dielectric films to enable patterning of deep submicron features
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
US6525428B1 (en) * 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7358146B2 (en) * 2003-06-24 2008-04-15 Micron Technology, Inc. Method of forming a capacitor
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050077629A1 (en) * 2003-10-14 2005-04-14 International Business Machines Corporation Photoresist ash process with reduced inter-level dielectric ( ILD) damage
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
KR100720490B1 (ko) * 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
KR100950553B1 (ko) * 2007-08-31 2010-03-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
GB201217712D0 (en) 2012-10-03 2012-11-14 Spts Technologies Ltd methods of plasma etching
CN103646911A (zh) * 2013-11-08 2014-03-19 上海华力微电子有限公司 减小金属层刻蚀损伤的方法
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2962408D1 (en) 1978-01-30 1982-05-19 Cin Ind Investments Limited Synthetic resin/glass laminates and process for producing these laminates
DE3230714A1 (de) 1982-08-18 1984-02-23 Siemens AG, 1000 Berlin und 8000 München Halbleiter mit einem abschaltbaren thyristor und einem abschaltstrompfad
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3677755B2 (ja) * 1995-09-26 2005-08-03 富士通株式会社 半導体装置及びその製造方法
US5998244A (en) 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
TW468273B (en) * 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3300643B2 (ja) * 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP3815889B2 (ja) * 1998-08-10 2006-08-30 シャープ株式会社 多層配線の形成方法
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
JP4173307B2 (ja) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路の製造方法
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101779280B (zh) * 2007-08-09 2013-04-17 国际商业机器公司 多层互连的波纹界面
CN108511448A (zh) * 2018-03-23 2018-09-07 上海华虹宏力半导体制造有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
JP2004503088A (ja) 2004-01-29
KR100787847B1 (ko) 2007-12-27
WO2002003454A3 (en) 2002-05-23
CN1199258C (zh) 2005-04-27
AU2001266798A1 (en) 2002-01-14
CN1645605A (zh) 2005-07-27
SG145555A1 (en) 2008-09-29
JP5178983B2 (ja) 2013-04-10
TW516176B (en) 2003-01-01
WO2002003454A2 (en) 2002-01-10
KR20030020301A (ko) 2003-03-08
WO2002003454B1 (en) 2002-06-27
CN100481432C (zh) 2009-04-22
US6410437B1 (en) 2002-06-25
EP1295330A2 (en) 2003-03-26

Similar Documents

Publication Publication Date Title
CN1199258C (zh) 在有机硅酸盐玻璃中腐蚀双金属镶嵌结构的方法
JP2003197738A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
US7365021B2 (en) Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
CN1689152A (zh) 适用于形成集成电路互连和器件的金属-金属氧化物蚀刻阻滞/电子迁移屏蔽的方法
CN101055421A (zh) 双镶嵌结构的形成方法
CN1661799A (zh) 半导体器件
US6248252B1 (en) Method of fabricating sub-micron metal lines
CN102044523B (zh) 半导体器件结构及其制造方法
JP5178025B2 (ja) 半導体メモリ素子の製造方法
KR100909175B1 (ko) 듀얼 다마신 패턴 형성 방법
US6660645B1 (en) Process for etching an organic dielectric using a silyated photoresist mask
EP1646083B1 (en) Alternative dual damascene patterning approach
KR100571696B1 (ko) 반도체 소자의 제조 방법
JPH08181146A (ja) 半導体装置の製造方法
KR100571406B1 (ko) 반도체 소자의 금속배선 제조 방법
KR0171977B1 (ko) 반도체 소자의 제조 방법
KR100518084B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
CN1287422C (zh) 形成具有圆化边角的接触窗口的方法及半导体结构
KR20030002119A (ko) 듀얼 다마신 공정에 의한 비아홀 형성 방법
US6949469B1 (en) Methods and apparatus for the optimization of photo resist etching in a plasma processing system
KR100996161B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR100604418B1 (ko) 반도체 소자의 금속 배선층 형성방법
KR101077021B1 (ko) 반도체 소자의 금속배선 형성방법
KR20010059538A (ko) 반도체소자의 금속배선 형성방법
KR20060075886A (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20050427

CX01 Expiry of patent term