KR20030020301A - 오가노실리케이트 글래스의 이중 다마신 구조를 에칭하는방법 - Google Patents

오가노실리케이트 글래스의 이중 다마신 구조를 에칭하는방법 Download PDF

Info

Publication number
KR20030020301A
KR20030020301A KR1020027017338A KR20027017338A KR20030020301A KR 20030020301 A KR20030020301 A KR 20030020301A KR 1020027017338 A KR1020027017338 A KR 1020027017338A KR 20027017338 A KR20027017338 A KR 20027017338A KR 20030020301 A KR20030020301 A KR 20030020301A
Authority
KR
South Korea
Prior art keywords
etching
layer
dielectric layer
trench
organosilicate
Prior art date
Application number
KR1020027017338A
Other languages
English (en)
Other versions
KR100787847B1 (ko
Inventor
자넷엠. 플래너
이안 모리
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20030020301A publication Critical patent/KR20030020301A/ko
Application granted granted Critical
Publication of KR100787847B1 publication Critical patent/KR100787847B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

웨이퍼에 이중 다마신 에칭 구조를 형성하는 방법과, 이 방법에 따라 형성되는 반도체 소자의 발명이 공개된다. 본 발명은 2-단계 에칭 공정을 이용하여 오가노실리케이트 유전층에서 이중 다마신 구조를 형성한다. 본 발명의 한 실시예에 따라, 제 1 저선택도 에칭제를 이용한 제 1 에칭 단계가 실행되어, 트렌치 유전체를 완전히 지나 바이어 유전체를 거의 통과할만큼 에칭하고, 그래서 장벽층에 의해 보호되는 금속화된 대상을 보호하는 장벽층 위에 소량의 바이어 유전체를 남긴다. 제 1 에칭 단계 이후 제 2 고선택도 에칭제를 이용하여 제 2 에칭 단계가 실행된다. 제 2 에칭 단계는 장벽층에 손상없이 실행된다. 본 발명의 대안의 실시예는 "트렌치-우선" 에칭 전략을 고려한다.

Description

오가노실리케이트 글래스의 이중 다마신 구조를 에칭하는 방법{METHOD FOR ETCHING DUAL DAMASCENE STRUCTURES IN ORGANOSILICATE GLASS}
집적 회호는 반도체 구조의 여러층들 위에 전도 라인을 절연시키기 위해 이산화규소로부터 형성되는 유전층을 이용한다. 반도체 회로가 날로 빨라지고 소형화됨에 따라, 동작 주파수가 증가하고 반도체 소자 내 전도 라인 간의 거리가 감소한다. 이는 회로에 증가된 수준의 결합 커패시턴스를 제공하며, 이는 반도체 소자의 동작 속도를 저하시키는 단점이 된다. 따라서, 이러한 결합 커패시턴스 증가에 반하여 전도 라인을 효과적으로 절연할 수 있는 유전층을 이용하는 것이 중요해지고 있다.
일반적으로, 집적 회로의 결합 커패시턴스는 유전층 형성에 사용되는 물질의 유전율 K에 정비례한다. 앞서 언급한 바와 같이, 기존 집적 회로의 유전층들은 4.0의 유전율을 가지는 SiO2로 형성되고 있다. 반도체 소자의 동작 주파수와 라인 밀도를 증가시킬 결과, SiO2로 형성된 유전층은 결합 커패시턴스 수준 증가를 막기 위해 필요한 크기로 전도 라인을 효과적으로 절연하지 못할 수 있다.
집적 회로의 결합 커패시턴스 수준을 감소시키고자 하는 노력으로, 반도체 산업은 SiO2보다 낮은 유전율의 물질 개발에 노력을 경주하였다. 이 물질이 집적 회로의 유전층 형성에 이용하기 적합하기 때문이다. 오늘날까지, 수많은 저유전율 물질이 개발되었다. 이들 중 다수가 유기화합물이다.
저유전율 유기 물질 중 한가지 클래스는 오가노실리케이트 글래스(organosilicate glass)를 포함한 화합물이다. 예를 들어, 이러한 오가노실리케이트 유전체는 미국, 캘리포니아, 산호세 소재 Novellus 사의 CORAL, 미국, 캘포니아, 산타클라라 소재 Applied Materials사의 Black Diamond, 미국, 캘리포니아, 산타클라라 소재 Sumitomo Chemical America, Inc. 사의 Sumika Film을 포함한다.
반도체 웨이퍼 처리 중, 반도체 소자의 세부 형태들이 공지된 패터닝 및 에칭 처리를 이용하여 웨이퍼에 형성된다. 이 공정에서, 포토레지스트 물질이 웨이퍼에 증착되어 레티클(reticle)에 의해 필터링되는 광에 노출된다. 레티클은 광이 레티클 통과를 막는 일례의 형태로 패턴처리되는 글래스판일 수 있다.
CORAL같은 저유전율 오가노실리케이트 글래스 박막에 대한 효과적인 에칭 처리 개발은 에칭 속도, 프로파일 제어, 하부 물질에 대한 선택도, 그리고 임계 치수(CD) 제어를 포함하는 여러 사항을 고려하여야 한다. 저유전율 물질의 에칭은실리콘계열 유전물질이 에칭되는 것과 같이 접근된다. 이는 저유전율 유기 화학물질에서 별로 효과적이지 못하다고 드러났으며, 이 물질의 효과적 에칭에 필요한 과정들은 전통적인 실리콘 계열 에칭과는 조금 다르다. 이는 오가노실리케이트 글래스 저유전율 박막의 에칭을 더욱 불확실하게 한다고 드러났다.
오가노실리케이트 글래스 저유전율 박막은 반도체 제작 과정에 사용되는 타물질과 유사한 화학 조성의 에칭 기체 흐름을 이용하여 에칭되는 경우가 잦다. 이는 이러한 소자의 제작을 어렵게 할 수 있다. 오가노실리케이트 글래스 저유전율 박막을 구현할 때 특징부 크기가 작고 밀도가 높게 웨이퍼를 구현하는 것이 어렵다고 드러난 한 구조가 이중 다마신 구조(dual damascene structure)이다. 이러한 이중 다마신 구조는 하부 전기 전도 특징부, 특히 금속 특징부에 전기적 연결을 제공하기 위해 한개 이상의 바이어(via)와 상호연결층을 포함한다.
특징부 크기와 밀도를 신뢰할 수 있게 유지하면서 저유전율 오가노실리케이트 글래스 유전체 내에 다마신 일부가 형성되는, 웨이퍼 내 이중 다마신 에칭 구조를 형성하는 방법이 요망된다.
오가노실리케이트 글래스 저유전율 물질 내에 이중 다마신을 신뢰할 수 있게 형성하면서, 기존 장비와 화학물질을 이용하여 구현될 수 있는 방법이 가장 요망되는 바이다.
본 발명은 반도체 제작에 관한 것이다. 특히 본 발명은 한개 이상의 저유전율층을 포함한 반도체 웨이퍼에서 이중 다마신 구조(dual damascene structure)를 형성하기 위한 신규한 기술을 공개한다.
도 1은 "바이어-우선(via-first)" 에칭 전략을 구현하는 본 발명의 2단계 바이어 에칭 공정의 순서도.
도 2는 2단계 바이어 에칭을 바이어-우선 이중 다마신 에칭으로 구현하는 본 발명의 한 실시예 순서도.
도 3은 포토레지스트층 증착 후 웨이퍼 적층구조의 단면도.
도 4는 반사방지 코팅층을 에칭한 후 웨이퍼 적층구조의 단면도.
도 5는 반사방지 코팅층과 캡층의 에칭 후 웨이퍼 적층구조의 단면도.
도 6은 제 1 저선택도 에칭제를 이용하여 반사방지 코팅층, 캡층, 제 1 유전층, 트렌치 정지층, 그리고 대부분의 제 2 유전층을 에칭한 후 웨이퍼 적층구조의 단면도.
도 7은 제 2 고선택도 에칭제를 이용하여 모든 제 2 유전층을 에칭해버린 후 도 6의 적층구조 단면도.
도 8은 제 1 포토레지스트층을 벗겨낸 후 도 7의 적층구조 단면도.
도 9는 제 2 포토레지스트층의 증착 후 도 8의 적층구조 단면도.
도 10은 반사방지 코팅층의 제 2 에칭 후 도 9의 적층구조 단면도.
도 11은 반사방지 코팅층과 캡층의 제 2 에칭 후 도 10의 웨이퍼 적층구조 단면도.
도 12는 제 1 저선택도 에칭제를 이용하여 반사방지 코팅층, 캡층, 제 1 유전층을 두 번째 에칭한 후 웨이퍼 적층구조의 단면도.
도 13은 포토레지스트층을 두 번째 벗겨낸 후 도 12의 웨이퍼 적층구조 단면도.
도 14는 바이어와 트렌치 에칭의 벽에 장벽 코팅을 증착하고 하부 구조를 노출시키도록 장벽층을 에칭해버린 후 도 13의 웨이퍼 적층구조 단면도.
도 15는 반사방지 코팅을 두 번째 실시한 결과 바이어 에칭의 베이스에 플러그가 형성된 웨이퍼 적층구조의 단면도.
도 16은 "트렌치-우선(trench-first)" 에칭 전략을 구현하는, 본 발명의 또다른 2단계 바이어 에칭 공정의 순서도.
도 17은 포토레지스트층 증착 이후 제 1 유전층이 에칭되고 그위에 층들이 놓인, 웨이퍼 적층구조의 단면도.
도 18은 제 2 포토레지스트층의 증착 후 도 17의 웨이퍼 적층구조 단면도.
도 19는 제 1 저선택도 에칭제를 이용하여 대부분의 제 2 유전층을 에칭해 제거한 후 도 18의 웨이퍼 적층구조의 단면도.
도 20은 여러 층을 제거하고 포토레지스트층을 적용한 후 도 19의 웨이퍼 적층구조 단면도.
도 21은 바이어 및 트렌치 에치의 벽에 장벽 코팅을 증착하고 하부 구조를 노출시키도록 장벽층을 에칭해 제거한 후 도 20의 웨이퍼 적층구조 단면도.
도 22는 2단계 바이어 에칭을 트렌치-우선 이중 다마신 에칭으로 구현하는 본 발명의 한 실시예 순서도.
앞서 언급한 요망하는 장점들을 얻기 위해, 본 발명은 웨이퍼에 오가노실리케이트 글래스 유전층이 한개 이상 존재하는 웨이퍼에서 이중 다마신 에칭 구조를형성하는 방법을 공개한다. 본 발명의 신규한 방법은 제 1 저선택도 에칭제를 이용하여 오가노실리케이트 글래스 유전체의 주요부분을 따라 에칭하는 단계를 포함한다. 이 에칭 단계는 장벽층 위에 오가노실리케이트 글래스 유전체의 매우 작은 나머지 부분을 남긴다. 그후 본 발명은 제 2 저선택도 에칭제를 이용하여 오가노실리케이트 글래스 유전체의 상기 나머지 부분을 에칭하여 제거한다.
본 발명의 여러 실시예에 대한 다음의 설명은 오가노실리케이트 유전체를 포함한 웨이퍼에서 이중 다마신 에칭 구조물을 형성하는 여러 대안을 제시한다. 여기서 공개되는 공정들은 에칭 시스템을 포함하여 적절한 웨이퍼 처리 장비 내에서 시행되는 것이 일반적이다. 본 발명을 구현하는 데 매우 적합한 이러한 에칭 시스템의 한 예로는 미국, 캘리포니아, 프레몬트 소재 Lam Research Corporation 사의 Exelan 이중-주파수 유전체 에칭 시스템이 있다. Exelan의 폭넓은 공정 포트폴리오는 모든 이중 다마신 구조뿐 아니라, 접점, 바이어, 스페이서, 그리고 도핑된 산화물 및 도핑되지 않은 산화물과 저유전율 물질의 패시베이션 에칭을 포함한다.
도 1에서는 본 발명의 공정 개관이 도시된다. 본 발명은 다양한 종류의 반도체 구현에 적용할 수 있다. 본 예에서는, 웨이퍼 적층구조가 위에 놓이는 실리콘 기판을 가정한다. 웨이퍼 적층구조는 한개 이상의 오가노실리케이트 글래스(이하, OSG) 유전층을 포함하고, 이 층을 통해 다마신 구조물, 특히 이중 다마신 구조물같은 구조물이 형성되는 것이 바람직하다. 따라서, 단계 102에서는 제 1 저선택도 에칭제가 이용되어 OSG 트렌치 유전체와 대부분의 OSG 바이어 유전체를 따라 에칭하고, 트렌치와 바이어 유전체 사이의 층을 따라서도 에칭한다. 단계 104에서는 제 1저선택도 에칭제로부터 제 2 고선택도 에칭제로 변화가 생긴다. 그후, 단계 106에서 나머지 OSG 바이어 유전체가 에칭되어 사라진다.
본 발명의 한 실시예는 바이어-우선 이중 다마신 흐름의 일부분으로로 앞서 논의한 2단계 에칭 공정을 이용하는 것을 고려한다. 이러한 실시예의 공정이 도 2에 도시되어 있다. 웨이퍼 적층구조의 여러층들이 증착된 후, 단계202에서는 포토레지스트같은 공지 패턴처리 기술을 이용하여 바이어가 패턴처리된다. 그후, 단계 204에서, 반사방지 코팅(ARC)과 캡층이 에칭되어 열린다. 여기서 사용되는 반사방지 코팅이라는 용어는 구체적으로 하부 반사방지 코팅(BARC)을 포함한다. 그후 바이어 에칭(102)이 도 1에서처럼 실행된다. 단계 206에서는 바이어를 패턴처리한 제 1 포토레지스트가 벗겨진다. 단계 208에서는 제 2 패턴처리 단계가 실행되어, 이중 다마신 구조의 트렌치 부분을 패턴처리한다. 또한, 이 패턴처리는 포토레지스트 적용을 포함한 반도체 제작시 공지 원칙에 따라 실행된다. 단계 210에서는 트렌치 반사방지 코팅과 캡이 에칭되어 열린다. 그후 단계 212에서는 이중 다마신 구조의 트렌치 부분이 에칭된다. 단계 214에서는 제 2 포토레지스트 스트립이 실행되어 트렌치 패턴처리 단계 208에 사용되었된 포토레지스트를 제거한다. 마지막으로 단계 216에서 장벽(barrier)이 에칭되어 제거된다.
본 발명의 위 실시예는 도 2를 계속 참고하는 것은 물론 도 3-14 역시 참고하면서 다시 설명된다. 도 3에는 일례로 든 웨이퍼 적층구조(100)가 도시된다. 웨이퍼 적층구조(100)는 캡층(6) 위에 반사방지 코팅층(4), 그리고 그 위에 패턴처리된 포토레지스트층(2)을 포함한다. 적절한 포토레지스트는 원자외선(deep-UV) 포토레지스트를 포함한다. 원자외선 포토레지스트용의 한가지 소스는 미국, 로드아일랜드, 노스 킹스톤 소재의 Arch Chemicals사 제품이다. 캡층(6)은 제 1 유전층(8) 위에 공급된다. 제 1 유전층(8)은 본 예에서 오가노실리케이트 유전층이다. 예를 들어, 이러한 오가노실리케이트 유전체는 미국, 캘리포니아 산호세 소재 Novellus사의 CORAL, 미국, 캘리포니아, 산타클라라 소재 Applied Materials사의 Black Diamond, 미국, 뉴저지, 모리스타운 소재 Allied Signal사의 HOSP, 그리고 미국, 캘리포니아, 산타클라라 소재 Sumimoto Chemical America, Inc.의 Sumika Film을 포함한다. 이해를 돕기 위해, 본 발명은 캡층으로 SiN을 이용한다.
트렌치 정지층(10)은 제 1 유전층(8)을 제 2 유전층(12)(본 예에서 오가노실리케이트 유전층임)과 분리시킨다. 트렌치 정지층(10) 형성을 위한 적절한 물질은 TiN, SiN, 테트라에틸오소실리케이트(TEOS), 등을 포함한다. 장벽층(14)은 제 2 유전층(12)을 그 하부의 웨이퍼 구조물과 분리시킨다. 본 발명은 SiC 장벽층을 이용한다. 본 예에서 제 2 유전층(12) 아래의 이 구조물은 웨이퍼의 실리콘 기판(16)이다. 또한 전기 전도 요소(18)가 장벽층(14) 아래에 형성된다. "금속화된 대상"이라는 용어는 전기 상호연결부, 금속화층, 그리고 반도체 요소를 포함하는 이러한 모든 전기 전도 요소를 의미한다. 요소(2)로부터 요소(14)를 따른 웨이퍼 구조물이웨이퍼의 타기능층 위에 동등한 기능으로 구현될 수 있다.
도 3에 제시되는 예는 부가적인 트렌치 정지층(10)을 포함한다. 여기서 제시되는 원칙은 구체적으로, 트렌치 유전층(8)과 바이어 유전층(12)이 트렌치 정지층(10)없이 단일 유전층으로 형성되는, 본 발명의 실시예들을 가능하게 한다.본 실시예에서 이중 다마신 구조를 형성하는 방법은 차후에 설명될 것이다.
또한 도 3에는 패턴처리된 포토레지스트층(2)이 도시된다. 이때 본 발명의 본 실시예에 따른 반도체 소자 형성 시점에서, 상기 구조물은 도 2에서처럼 단계 202에 따라 형성된다. 단계 202에서 패턴처리 후, 여러 에칭 단계들 중 하나가 시작될 수 있다. 도 4에서와 같은 한가지 대안에 따라, 에칭 단계를 이용하여 반사방지 코팅층(4)이 열린다. 본 예에서, 가령, Ar/N2/O2혼합물처럼, 반사방지 코팅층에 알맞은 에칭제가 사용되어, 반사방지층을 선택적으로 에칭한다. 대안의 ARC 에칭제가 사용되어, 반사방지 코팅을 에칭하여 제거하는 것을 완료할 수 있다. 또다른 대안의 경우, 포토레지스트(2)의 열린 부분 아래 캡층(6)과 반사방지 코팅층(4)을 제거하는 에칭 단계가 구현될 수 있다. 이 에칭 단계의 결과가 도 5에 도시된다. 추가적인 대안이 본 도면에 대해 고려될 수 있다. 본 대안에 따라, 반사방지층(4)과 캡층(6)이 단일 에칭 단계로 비워지거나 열린다. 또하나의 대안에서, 유전층들, 유전층 사이에 끼인 층들, 그리고 캡층의 전체 덩어리를 한단계로 에칭해 제거하는 에칭제가 고려된다.
도 6에서는 단계 102에 나타난 공정에 의해 가능해지는 이 후자의 실시예가 완료되고 있다. 저선택도 에칭제는 캡층(6), 트렌치 정지층(8), 부가적인 트렌치 정지층(10)를 통해, 그리고 대부분의 바이어 유전층(12)을 통한 대부분의 통로를 따라 에칭을 실시하여, 매우 소량의 바이어 유전층(12) 나머지부분을 남기고 바이어 에치(20)를 형성한다. CORAL에 적절한 저선택도 에칭제는 Ar/N2/CF4,Ar/N2/C2/F6, 그리고 Ar/N2/C9F8/O2가 있다. A에 도시되는 바와 같이, 바이어 유전체(12)의 박막층이 1미크론 미만의 두께(선호됨), 100~4000옹스트롬 두께(보다 선호됨), 1000~2000옹스트롬 두께(가장 선호됨)로 유지된다.
본 발명에 따른 바이어 에칭 단계의 이 시점에서, 단계 104가 실행되고 에칭제 기체 흐름이 첫 번째 저선택도 에칭제로부터 두 번째 고선택도 에칭제로 변경된다. 오가노실리케이트 글래스에 대해 선택도가 높으며 SiC와 거의 반응하지 않는 에칭제 기체 혼합물의 예는 혼합물 Ar/N2/C4F8을 포함한다. 그후 도 6의 A에 도시되는 바이어 유전층(12)의 나머지 두께를 에칭하여 제거하는 고선택도 에칭제와 함께 단계 106이 실행된다. 이 에칭 단계는 도 7의 (22)에 도시되는 최소 손실로 장벽층(14)에 의해 정지된다. 400 옹스트롬 이상의 나머지 두께를 가지는 장벽층(14)으로 에칭 단계 106이 종료되는 것이 바람직하다. 500-700 옹스트롬의 나머지 두께로 장벽층(14)이 남는 것이 더 선호된다. 1000 옹스트롬의 에칭 단계 이후 최소 두께를 장벽층이 가지는 것이 더 선호된다. 물론, 장벽층 물질에 손실이 전혀 없는 것이 가장 바람직한 바이다. 이 때 과정1이 완료된다.
본 발명의 원리에 따르는 신규한 2단계 바이어 에칭 방법의 장점 중 일부가 이제부터 설명된다. 앞서 언급한 바와 같이, 트렌치 정지층(10)과 장벽층(14)은 동일한 물질로, 또는 화학적으로 유사한 물질로 형성되는 경우가 잦다. SiC도 이중에 포함된다. 트렌치 정지층(10)의 존재에 무감각한 에칭 단계는 트렌치 정지층과 같은 물질로 형성되는 장벽층에 의해 정지되지 않는다. 이러한 무감각한 에칭을 바이어 에칭 구조의 하부까지 줄곧 이용함으로서, 오늘날의 반도체 제작자가 요구하는 고출력 에칭 시스템에서, 장벽층(14)을 따라 금속화된 대상(18)같은 장벽(14) 아래 요소나 구조물 내로 완전히 에칭해버리는 매우 큰 위험도를 가진다. 이 부적절한 조건으로 인해, 구리와 구리 이온을 포함한 아래의 금속이나 금속 이온이 트렌치 유전층(8)과 바이어 유전층(12) 중 한개 이상으로 원하지 않는 확산을 일으킬 수 있다. 구리나 구리함유 화합물을 포함한 금속 화합물과 유전층의 이 중독 현상("poisoning")은 반도체 소자 제작의 총체적 목적인 트랜지스터 효과를 파괴시킬 수 있다.
도 8을 참고하도록 하자. 제 1 포토레지스트층이 웨이퍼 적층구조에서 제거된 단계 206을 완료한 후의 웨이퍼(100)가 도시된다. 그후 도 9에 도시되는 바와 같이, 이중 다마신 구조의 트렌치 부분이 제 2 포토레지스트층(30)을 공급함으로서 패턴처리된다. 이는 단계 208에 대응한다. 또한, 반사방지층 코팅(4)과 캡층(6)을 통한 에칭은 앞서 논의한 에칭 방법에 따라 진행될 수 있다. 이 방법들은 반사방지 코팅층(4)이 홀로 에칭되는 전략(도 10)과, 반사방지 코팅층(4)과 캡층(6)이 함께 에칭되는 전략(도 11), 또는 반사방지 코팅층(4), 캡층(6), 그리고 트렌치 유전층(8)의 에칭이 동시에 이루어지는 전략을 포함한다. 어느 경우에도, 최종 에칭 구조는 도 12에 도시되고, 단계 212에 대응한다.
도 13에는 단계 214에 대응하는, 제 2 포토레지스트층(30)을 벗겨낸 후의 웨이퍼(100)가 도시된다.
도 14에는 장벽층 에칭 단계 216의 종료 후 웨이퍼(100)가 도시된다. 적절한장벽 에칭제 기체 혼합물은 Ar/CF4/CHF3를 포함한다. B의 장벽층(14)을 따라 에칭한 후, 전기 전도 장벽 코팅(42)이 트렌치 에치(4)와 바이어 에치(20) 표면에 공급된다. 이는 통상적으로 TaN으로 형성되며, 그 외 다른 전기 전도 장벽 코팅 물질이 사용될 수도 있다. 이때, 본 발명에 의해 이루어지는 이중 다마신 에칭이 단계 106에 따라 완료되고, 금속화된 대상(18)은 본 발명의 원리에 의해 실시되는 이중 다마신 에칭에 형성되는 상호연결수단을 이용하여 전기적으로 연결될 수 있다.
본 발명의 또다른 실시예는 도 15를 참고하여 고려된다. 이 실시예는 스트립 단계 206에서 제 1 포토레지스트층(2) 제거 결과로 반사방지 코팅층(4)의 불필요한 제거를 최소화하는 방법을 고려한다. 이 상황이 발생할 때, 반사방지 코팅층을 채울 필요가 있을 경우, 층이 (52)에서처럼 다시 공급될 수 있고, 제 2 포토레지스트(30)가 새로이 증착된 반사방지 코팅층(52) 위에 공급될 수 있다. 반사방지 코팅층(52) 증착은 바이어 에치(20) 하부에 반사방지 물질의 플러그(54)를 불필요하게 증착시킨다. 플러그(42)는 앞서 설명한 트렌치 에칭 단계 212동안 에칭되어 사라지며, 또는 제 2 포토레지스트(30)가 벗겨질 때 제거될 수 있다. 대안으로, Ar/N2/O2흐름을 이용한 별도의 에칭 단계가 구현될 수 있다.
발명의 또하나의 실시예는 트렌치 정지층에 의해 구분되지 않는 오가노실리케이트 유전체의 단일층을 구현한다. 본 실시예를 형성할 때, 지정 시간 이후 트렌치의 등방성 에칭이 중지되어, 트렌치 바이어 에칭 구조를 형성시킨다.
앞서 논의사항은 이중 다마신 에칭의 바이어 에칭 단계 102가 트렌치 에칭단계 106 이전에 실행되는 본 발명의 한 실시예에 관한 것이었다. 본 실시예는 "바이어-우선(via-first)" 에칭 전략을 구현한다. 본 발명은 트렌치 에칭 단계가 먼저 실행되는 전략을 또한 고려한다. 이는 "트렌치-우선" 에칭 전략이라고 불리며 도 16에 요약된다.
단계 302에서는 오가노실리케이트 트렌치 유전층을 따라, 그리고 트렌치 및 바이어 유전체 사이의 어떤 층을 따라서 에칭을 실시하도록 제 1 저선택도 에칭제가 사용된다. 단계 304에서, 웨이퍼가 바이어 에칭 단계동안 다시 패턴처리된다. 대부분의 바이어는 제 1 저선택도 에칭제를 이용하여 다시 단계 306에서 에칭되어 사라진다. 단계 308에서는 제 1 저선택도 에칭제로부터 제 2 고선택도 에칭제로 변화가 이루어진다. 그후, 단계 310에서 나머지 오가노실리케이트 바이어 유전체가 제 2 고선택도 에칭제를 이용하여 에칭된다. 이 때, 트렌치와 바이어 구조는 웨이퍼에 에칭된다.
이 단계들은 도 17-22를 참고하여 다시 설명된다. 도 22에서는 본 실시예의 공정 단계들이 도시된다. 단계 400에서 트렌치가 포토레지스트 증착에 의해(한 예에 불과함) 패턴처리된다. 그후 단계 402에서, 트렌치 반사방지 코팅과 캡층이 에칭에 의해 개방된다. 단계 404에서는 제 1 고선택도 에칭제를 이용하여 트렌치가 에칭되고, 트렌치 정지층(10)에서 에칭이 정지된다. 그후 단계 406에서는 바이어가 트렌치 하부에 공급된 포토레지스트층을 공급함으로서 패턴처리된다. 단계 406에서 바이어 패턴의 증착 후, 바이어는 제 2 저선택도 에칭제로 다시 408에서 에칭된다. 그후 단계 410에서는 공지된 포토레지스트 스트립 기술을 이용하여, 단계 400이나406 중 한 단계 이상동안 공급되는 포토레지스트가 벗겨진다. 마지막으로, 웨이퍼 적층구조(100)가 형성되고, 트렌치(40)는 제 1 고선택도 에칭제를 이용하여 그 안에 에칭된다. 마지막으로, 단계 412에서 장벽층이 에칭된다.
도 17에서는 웨이퍼 적층구조(100)가 형성되고, 제 1 고선택도 에칭제를 이용하여 그 안의 트렌치(40)가 에칭된다. 본 발명의 또다른 실시예에 대해 앞서 논의한 바와 같이, 반사방지 코팅층(4), 캡층(6), 그리고 트렌치 유전층(8)이 에칭되는 단계들이 단일 에칭 단계로 실행될 수 있고, 또는 다수의 에칭 단계들이 여러층을 동시에 에칭할 수 있다. 이는 도 22의 단계 400~404에 대응한다.
도 18을 참고할 때 단계 405, 406이 도시된다. 단계 405에서는 포토레지스트(2)가 앞서처럼 벗겨진다. 단계 406에서는 제 2 포토레지스트층(300)이 트렌치(40) 하부에 공급되고, 이는 본 발명의 원리에 의해 개시되는 이중 다마신 에칭의 바이어 부분을 패턴처리한다.
포토레지스트(300) 증착 후, 도 19에 도시되는 바와 같이 제 1 저선택도 에칭제를 이용하여 바이어 유전체(12)의 주요부분이 에칭되어 사라진다. 단계 408에 대응하는 이 단계는 에칭 단계 다음에 A에 바이어 유전층(12) 일부를 남긴다. 남아있는 바이어 유전체의 양은 앞서 논의한 바와 같다.
도 20, 에칭 단계 408을 참고하여, 제 2 포토레지스트 스트립 단계 410이 실행되어, 트렌치(40) 하부로부터 포토레지스트(300)를 제거한다.
도 21에서, 장벽층 에칭 단계 412가 종료되어, 바이어(20) 하부로부터 장벽(14)을 제거한다. 그후 전기 전도 TaN 장벽 코팅층(42)이 완료된 이중 다마신에칭의 측벽과 하부에 공급된다. 이중 다마신 에칭은 이제 종료되며, 전기 전도 요소의 추가적인 증착이 계속된다. 이는 구리 씨드를 뿌려 구리 증착/충진을 행함으로서 실행된다.

Claims (10)

  1. 오가노실리케이트 글래스(organosilicate glass) 유전층을 포함하는 웨이퍼에서 이중 다마신 에칭 구조(dual damascene etch structure)를 형성하는 방법으로서, 이 방법은,
    - 먼저, 제 1 저선택도 에칭제를 이용하여 오가노실리케이트 글래스 유전층의 주요부분을 따라 에칭을 실시하고, 이때 오가노실리케이트 글래스 유전층의 나머지 부분을 남기며,
    - 두 번째로, 제 2 고선택도 에칭제를 이용하여 오가노실리케이트 글래스 유전층의 나머지 부분을 에칭하여 제거하는,
    이상의 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 웨이퍼는 오가노실리케이트 글래스 유전층 아래 금속화된 대상을 추가로 포함하고, 상기 금속화된 대상과 오가노실리케이트 글래스 유전층은 장벽층에 의해 분리되며, 이 방법은,
    - 제 2 에칭 단계에 이어, 장벽층 일부를 따라 금속화된 대상까지 에칭을 실시하는
    단계를 추가로 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항 또는 2 항에 있어서, 오가노실리케이트 글래스 유전층의 주요부분은트렌치 유전층과 대부분의 바이어 유전층을 추가로 포함하고, 그 나머지 부분은 바이어 유전층의 나머지 부분을 추가로 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에서 3 항 중 어느 한 항에 있어서, 오가노실리케이트 글래스 유전층 위에 공급되는 반사방지 코팅층과 캡층을 추가로 포함하는 웨이퍼에 바이어-우선 이중 다마신 구조를 형성하는 데 적용되는 방법으로서, 제 1 에칭 단계 이전에 상기 방법은,
    - 포토레지스트로 웨이퍼 위의 바이어를 패턴처리하고, 그리고
    - 반사방지코팅층과 캡층을 에칭하여 개방하는,
    이상의 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 제 2 에칭 단계 이후에 상기 방법은,
    - 포토레지스트를 벗기고,
    - 제 2 포토레지스트로 웨이퍼 위의 트렌치를 패턴처리하며, 그리고
    - 오가노실리케이트 유전층을 통해 부분적으로 트렌치를 에칭하는,
    이상의 단계들을 추가로 포함하는 것을 특징으로 하는 방법.
  6. 제 1 항에서 5 항 중 어느 한 항에 있어서, 상기 방법은,
    - 트렌치 정지층에 의해 구분되는 제 1 오가노실리케이트 유전층과 제 2 오가노실리케이트 유전층의 오가노실리케이트 유전층을 형성하고, 그리고
    - 트렌치 정지층으로 트렌치 에칭을 정지시키는,
    이상의 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  7. 제 1 항, 또는 3항 내지 6 항 중 어느 한 항에 있어서, 오가노실리케이트 유전층 아래에 장벽층을 포함하는 웨이퍼에 적용되는 방법으로서, 이 방법은 제 2 에칭 단계에 이어 장벽층을 따라 에칭하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  8. 제 1 항에서 7 항까지 중 어느 한 항에 있어서, 제 1 저선택도 에칭제가 Ar/N2/CF4, Ar/N2/C2/F6, 그리고 Ar/N2/C9F8/O2를 포함하는 에칭제 혼합물 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  9. 제 1 항에서 8 항 중 어느 한 항에 있어서, 제 2 고선택도 에칭제가 Ar/N2/C4F8인 것을 특징으로 하는 방법.
  10. 제 1 항 내지 3항, 또는 제 5 항 내지 9 항 중 어느 한 항에 있어서, 트렌치-우선 이중 다마신 구조 형성에 적용되는 방법으로서, 이 방법은 제 1 에칭 단계 이후 제 2 에칭 단계 이전에, 바이어 에칭을 위한 마스크를 다시 패턴처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
KR1020027017338A 2000-06-30 2001-06-08 유기 실리케이트 글라스의 이중 다마신 구조를 에칭하는 방법 KR100787847B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/608,119 2000-06-30
US09/608,119 US6410437B1 (en) 2000-06-30 2000-06-30 Method for etching dual damascene structures in organosilicate glass
PCT/US2001/018626 WO2002003454A2 (en) 2000-06-30 2001-06-08 Method for etching dual damascene structures in organosilicate glass

Publications (2)

Publication Number Publication Date
KR20030020301A true KR20030020301A (ko) 2003-03-08
KR100787847B1 KR100787847B1 (ko) 2007-12-27

Family

ID=24435120

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027017338A KR100787847B1 (ko) 2000-06-30 2001-06-08 유기 실리케이트 글라스의 이중 다마신 구조를 에칭하는 방법

Country Status (9)

Country Link
US (1) US6410437B1 (ko)
EP (1) EP1295330A2 (ko)
JP (1) JP5178983B2 (ko)
KR (1) KR100787847B1 (ko)
CN (2) CN100481432C (ko)
AU (1) AU2001266798A1 (ko)
SG (1) SG145555A1 (ko)
TW (1) TW516176B (ko)
WO (1) WO2002003454A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100720490B1 (ko) * 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US20020064951A1 (en) * 2000-11-30 2002-05-30 Eissa Mona M. Treatment of low-k dielectric films to enable patterning of deep submicron features
EP1233449A3 (en) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
KR100462759B1 (ko) * 2002-05-06 2004-12-20 동부전자 주식회사 확산 장벽층을 갖는 금속 배선 및 그 제조 방법
US6525428B1 (en) * 2002-06-28 2003-02-25 Advance Micro Devices, Inc. Graded low-k middle-etch stop layer for dual-inlaid patterning
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7276409B2 (en) * 2003-06-24 2007-10-02 Micron Technology, Inc. Method of forming a capacitor
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050077629A1 (en) * 2003-10-14 2005-04-14 International Business Machines Corporation Photoresist ash process with reduced inter-level dielectric ( ILD) damage
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
DE102005020060B4 (de) 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US8512849B2 (en) * 2007-08-09 2013-08-20 International Business Machines Corporation Corrugated interfaces for multilayered interconnects
KR100950553B1 (ko) * 2007-08-31 2010-03-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP2012156356A (ja) * 2011-01-27 2012-08-16 Elpida Memory Inc 半導体装置の製造方法
GB201217712D0 (en) 2012-10-03 2012-11-14 Spts Technologies Ltd methods of plasma etching
CN103646911A (zh) * 2013-11-08 2014-03-19 上海华力微电子有限公司 减小金属层刻蚀损伤的方法
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same
CN108511448A (zh) * 2018-03-23 2018-09-07 上海华虹宏力半导体制造有限公司 半导体结构的形成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2962408D1 (en) 1978-01-30 1982-05-19 Cin Ind Investments Limited Synthetic resin/glass laminates and process for producing these laminates
DE3230714A1 (de) 1982-08-18 1984-02-23 Siemens AG, 1000 Berlin und 8000 München Halbleiter mit einem abschaltbaren thyristor und einem abschaltstrompfad
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP3677755B2 (ja) * 1995-09-26 2005-08-03 富士通株式会社 半導体装置及びその製造方法
US5998244A (en) 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
TW468273B (en) * 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3300643B2 (ja) * 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP3815889B2 (ja) * 1998-08-10 2006-08-30 シャープ株式会社 多層配線の形成方法
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
JP4173307B2 (ja) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路の製造方法
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100720490B1 (ko) * 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 반도체 소자의 구리 배선 형성 방법

Also Published As

Publication number Publication date
CN100481432C (zh) 2009-04-22
US6410437B1 (en) 2002-06-25
CN1645605A (zh) 2005-07-27
AU2001266798A1 (en) 2002-01-14
TW516176B (en) 2003-01-01
WO2002003454A2 (en) 2002-01-10
KR100787847B1 (ko) 2007-12-27
JP2004503088A (ja) 2004-01-29
CN1449578A (zh) 2003-10-15
CN1199258C (zh) 2005-04-27
SG145555A1 (en) 2008-09-29
WO2002003454B1 (en) 2002-06-27
WO2002003454A3 (en) 2002-05-23
EP1295330A2 (en) 2003-03-26
JP5178983B2 (ja) 2013-04-10

Similar Documents

Publication Publication Date Title
KR100787847B1 (ko) 유기 실리케이트 글라스의 이중 다마신 구조를 에칭하는 방법
US6194128B1 (en) Method of dual damascene etching
US6331479B1 (en) Method to prevent degradation of low dielectric constant material in copper damascene interconnects
US6184142B1 (en) Process for low k organic dielectric film etch
US6599830B2 (en) Semiconductor device and manufacturing method thereof
US6066569A (en) Dual damascene process for metal layers and organic intermetal layers
US6309955B1 (en) Method for using a CVD organic barc as a hard mask during via etch
US20070134917A1 (en) Partial-via-first dual-damascene process with tri-layer resist approach
JP2003197738A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
US6197681B1 (en) Forming copper interconnects in dielectric materials with low constant dielectrics
US6376361B1 (en) Method to remove excess metal in the formation of damascene and dual interconnects
US6326296B1 (en) Method of forming dual damascene structure with improved contact/via edge integrity
US6821896B1 (en) Method to eliminate via poison effect
US6413438B1 (en) Method of forming via hole by dry etching
US6248252B1 (en) Method of fabricating sub-micron metal lines
JP4034115B2 (ja) 半導体装置の製造方法
US6228757B1 (en) Process for forming metal interconnects with reduced or eliminated metal recess in vias
US7015149B2 (en) Simplified dual damascene process
US6875688B1 (en) Method for reactive ion etch processing of a dual damascene structure
KR100571696B1 (ko) 반도체 소자의 제조 방법
US7148150B2 (en) Method of forming metal line layer in semiconductor device
JP3317279B2 (ja) 半導体装置の製造方法
KR100278995B1 (ko) 반도체장치의 비어홀 형성방법
KR100365936B1 (ko) 반도체소자의비아콘택형성방법
KR100457740B1 (ko) 반도체소자의 다층 금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121127

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131126

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151125

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171130

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee