CN1134060C - 超薄单相金属导体扩散阻挡层 - Google Patents

超薄单相金属导体扩散阻挡层 Download PDF

Info

Publication number
CN1134060C
CN1134060C CNB991044428A CN99104442A CN1134060C CN 1134060 C CN1134060 C CN 1134060C CN B991044428 A CNB991044428 A CN B991044428A CN 99104442 A CN99104442 A CN 99104442A CN 1134060 C CN1134060 C CN 1134060C
Authority
CN
China
Prior art keywords
layer
barrier layer
interconnection structure
dielectric material
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB991044428A
Other languages
English (en)
Other versions
CN1233852A (zh
Inventor
S��A���ƶ�
S·A·科恩
±���
K·P·罗德贝尔
F·R·麦菲利
J·J·尤卡斯
��˹��ŵ��
C·I·诺延
R·罗森伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1233852A publication Critical patent/CN1233852A/zh
Application granted granted Critical
Publication of CN1134060C publication Critical patent/CN1134060C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明旨在提供α-W层,用于如沟槽电容器或镶嵌布线级等互连结构中作为扩散阻挡层。该α-W层是单相材料,是利以用六羰基钨W(CO)6作源材料的低温/低压化学汽相淀积形成的。

Description

超薄单相金属导体扩散阻挡层
本申请与1996年10月30日提交的08/739,765号美国专利申请和1998年2月10日提交09/021,262号美国专利申请有关,它们都属于本发明的受让人。
本发明涉及互连半导体结构,特别涉及利用低温/低压化学汽相淀积技术形成于这种互连结构的沟槽或通孔中的α相W阻挡层,其中用六羰基钨W(CO)6作前体或源材料。本发明的α相W阻挡层超薄(小于15nm),且基本上不能透过导电材料,对介质材料和互连结构的导电材料有良好的粘附性,其保形且连续地覆盖大高宽比(深度/宽度大于3∶1)的沟槽。因此,本发明的α相W阻挡层可用于其中需要这种阻挡层的许多互连结构。包括:镶嵌结构,存储单元电容器及逻辑、存储和输入/输出应用的所有其它布线应用,但不仅限于此。本发明的阻挡层还可用于多晶硅和金属化层即导电层间的栅堆栈应用。
为了制造现有技术半导体器件的高性能互连结构,必须在具有形成于其中的沟槽或通孔的介质材料中掩埋如Cu等导电材料。已知一般有有机及无机介质材料用于这种应用。有机介质的例子包括:聚酰亚胺、paralyne聚合物、硅聚合物即聚硅氧烷、金刚石、类金刚石碳等,但不限于这些,而已知的无机介质材料有SiO2、Si3N4、氧化硅/氮化硅混合物或交替的氧化物/氮化物层。
尽管该申请的受让人目前已开发Cu用于半导体的制造中,但其具有一些所不希望的特性。一个最不希望的特性是在随后的处理步骤中适度地升高温度下Cu一般会扩散穿过介质材料。Cu的外扩散对所制造的互连结构有许多不利的影响。例如,Cu的外扩散会引起布线的短路,或会使MOS器件的性能退化。
为克服这种Cu存在的外扩散问题,一般在Cu和介质材料之间形成阻挡层。现有技术中,用于形成阻挡层的材料与介质材料不相容,即不能与介质材料很好地粘附。所以,要求附加的粘附层,以实现阻挡层材料与介质层的令人满意的粘附。
图1示出了含有介质、附加的粘附层、阻挡层和Cu的典型现有技术互连结构。具体说,图1所示的现有技术的互连结构包括至少具有一个形成于其中的沟槽或通孔的介质10、粘附层12、阻挡层14和Cu区16。沟槽或通孔是利用所属领域的技术人员熟知的标准光刻构图技术形成于介质10的表面中的。应该强调的是,尽管图1中示出了各层是保形层,但由于用于形成各层的现有处理技术不能够提供保形的沟槽覆盖,所以,实际上各层是不保形的。
如上所述,只有在阻挡层14与介质层10不相容时才需要粘附层。粘附层的合适材料包括:Ti、Cr和其它类似材料。粘附层利用如溅射等标准淀积技术形成。Cu区利用镀敷、化学汽相淀积、等离子体汽相淀积等所属领域的公知技术形成。
图1所示的现有结构中的阻挡层一般由如Ta等金属构成。现有阻挡层可利用溅射和其它已知淀积技术形成。
尽管有许多材料可用作阻挡层14,但现有阻挡层仍不能满足以下似乎是互连结构制造中必需的所有要求:
(1)在器件进一步的处理所用的条件下,以及工作条件下,阻挡层必须不能透过Cu;
(2)阻挡层必须对包括互连结构的介质具有良好的粘附性,避免使用附加粘附层。
(3)阻挡层必须形成为保形且连续覆盖大高宽比的沟槽。所谓的“大高宽比”是指深度与宽度的比大于3∶1。
(4)阻挡层应尽可能薄,以便使可填充Cu布线的沟槽的剖面部分最大,从而使布线的导电率最大。
(5)阻挡层应在整个结构中有均匀的厚度,即互连沟槽的覆盖是保形的。利用结构的最薄区可以决定阻挡失效,厚度的不均匀会浪费沟槽的截面积。
(6)阻挡层应由具有最小可能电阻率的材料构成,以便使整个布线的电阻率最小;及
(7)阻挡层应耐氧化,以便于用最少的预处理步骤或工艺用Cu填充其余沟槽空间。
尽管现有技术的阻挡层满足上述一项或几项要求,但已知现有技术的阻挡层不能满足以上所有各项。所以,需要开发一种能够满足上述每一项的新阻挡层。这种阻挡层将极有利于用Cu或其它导电金属的所有半导体互连应用。
本发明的目的是提供一种能满足上述所列1-7条的用于互连沟槽或通孔结构的阻挡层。
本发明要达到的一个具体目的是提供一种阻挡层,其与介质材料和导电材料都相容即粘附,从而可以避免对互连结构中附加粘附层的需要。
本发明要达到的第二具体目的是提供一种阻挡层,其超薄(小于15nm),且能够保形地覆盖大高宽比的沟槽或通孔。
通过利用α-W作阻挡层可以实现本发明的这些和其它方面及优点,其中α-W是利用以六羰基钨W(CO)6作前体或源材料的低温/低压化学汽相淀积(CVD)工艺形成的。具体说,本发明的阻挡层包括α相W,这是一种单相材料,是利用低温/低压CVD工艺由W(CO)6形成的。利用本发明的方法有会形成如β-W等的其它相。
本发明的其它方案涉及在预先形成于介质材料中的沟槽或通孔的侧壁和底部上形成α-W阻挡层的方法。具体说,通过在预先形成于介质材料中的沟槽或通孔的侧壁和底部上淀积15nm厚的α-W保形层,可以形成本发明的α-W阻挡层,其中所说淀积是利用W(CO)6作源材料的化学汽相淀积(CVD)进行的。
本发明再一方案涉及一种结构,该结构包括:具有位于部分所说半导体或介质材料中上的α-W层的至少一层如多晶硅等半导体或介质材料;形成于所说α-W层上的导电材料。α-W是在形成α-W阻挡层过程中利用上述方法形成于所述材料上的。
本发明再一方案涉及互连结构,该结构含有位于沟槽或通孔结构中的本发明的α-W阻挡层。具体说,本发明的互连结构包括:其中至少具有一个沟槽或通孔区的一层或多层介质材料;覆盖至少一个沟槽或通孔区的侧壁和底部的α-W阻挡层,其中所说α-W阻挡层是厚度小于15nm的连续单相材料;形成于所说至少一个沟槽或通孔区中的所说α-W阻挡层上的导电材料。还可以在各介质中形成从沟槽底部延伸到互连布线沟槽以下的通孔。
本发明所希望的合适互连结构包括:包括平板电容器、冠式电容器、堆叠电容器及其它类似的电容器的存储单元电容器;包括一对和单个的镶嵌结构;含有多个通孔和金属线的多重布线级;及其它类似互连结构;但不仅限于此。
图1是现有技术互连结构的剖面图;
图2是含α-W作阻挡层的本发明沟槽电容器结构的剖面图;
图3是含α-W作阻挡层的本发明双镶嵌结构的剖面图;
图4是用于本发明淀积α-W阻挡层的CVD设备的示意图;
图5是含本发明中形成的α-W阻挡层的SiO2/Si衬底的三角电压扫描曲线(电容与电压)。
图6(a)和(b)是X射线结晶学数据,(a)是本发明制备的α-W,(b)是含有α-W和β-W的溅射W。
下面结合各附图详细地说明旨在提供一种包括α-W的阻挡层的本发明。应注意,各附图中的元件或部件用类似且对应的参考数字表示。还要强调的是,尽管本发明示出了平板电容器和双镶嵌互连结构,但其可用于其中用如Cu等导电材料作电极或布线装置的其它应用中。本发明的α-W阻挡层的另一可能应用是其中利用本发明的方法在多晶硅和外金属化层之间形成α-W的栅堆栈。
更具体地参见各附图,并具体见图2和3,这些图中示出了两种可以含有本发明的阻挡层即利用以W(CO)6作源材料的CVD法形成的α-W连续层的互连结构。图2表示平行板电容器,而图3表示双镶嵌互连结构。要强调的是,尽管本发明只展示了上述两种结构,但决不仅限于此。相反,本发明的α-W阻挡层可用于如Cu等导电金属填充于介质材料的沟槽或通孔中的任何应用。还要强调的是,本发明的附图只展示了描述本发明所必需的层和材料,所属领域技术人公知的其它层和材料也可以应用于本发明。
具体说,图2展示了平行板电容器,其包括半导体衬底20、其表面内具有开口即沟槽或通孔的介质22、本发明所制备的α-W阻挡层24、不选择的金属籽晶层26、导电材料28、α-W阻挡层25、介质30和电极32。  图2的电容器结构还包括阻挡层36,该层可由用于防止导电材料28和介质22接触的α-W或如氮化硅等其它材料构成。在用α-W作阻挡层36时,采用本发明的方法。在采用其它阻挡材料时,在形成阻挡层36时可采用常规淀积技术。
该电容器结构利用所属领域的技术人员公知的常规处理步骤制备,但α-W阻挡层24和25的淀积例外,它们是利用下述的低温/低压CVD工艺形成的。应注意,阻挡层36也可利用本发明的方法形成。由于其它处理步骤是所属领域公知的,所以这里不再进行具体描述。
半导体衬底20可含有合适的扩散和隔离区,以形成掩埋于半导体衬底20中的电子器件。为清楚起见,本发明的附图中没有展示这些区及其它区。本发明可用任何合适的半导体材料作半导体衬底20。包括硅(Si)、Ge、SiGe、GaAs、InAs、InP、和所有其它III/V族化合物,但不限于这些。这些半导体材料中,本发明最优选由Si或SiGe构成的衬底20。
本发明所用半导体衬底可以是P型或N型,这取决于所制造的电子器件的类型。
含有形成于其表面中的沟槽区的介质22包括任何有机和无机绝缘材料。可用于本发明的合适介质材料包括SiO2、Si3N4、聚酰亚胺、金刚石、类金刚石碳、硅聚合物和氟化类金刚石碳,但不限于这些。这些介质可是掺杂或未掺杂的。掺杂时,掺杂剂可是碳、氟、磷、硅、Ge或其它类似的掺杂材料。
介质22可以包含合适的字线、位线和图2所示类型的电容器结构中一般具有的其它部件。为清楚起见,本发明的附图中未示出这些元件。可以参考共同待审和共同转让的US申请08/636457和08/886459,这两个申请分别是Andricacos等人于1996年4月23日申请和Grill等人于1997年5月30日申请的,这里引入这些申请作为参考,以提供电容器结构的具体说明及如何制造这些电容器。
如上所述,形成图2所示的结构的许多处理步骤是已知的。例如,用于形成该结构的常规技术包括:利用如CVD、旋涂和等离子体汽相淀积等在半导体衬底20上形成介质22,然后利用所属领域公知的标准光刻技术在介质22上形成沟槽。
接着,利用以下将详细介绍的本发明方法在该结构的沟槽中形成α-W阻挡层24。
图2的结构还包括不选择的金属籽晶层26。金属籽晶层26的例子和如何形成该层可参见Edelstein等人于1998年4月27日申请的共同待审和共同转让的代理号YO996-262,这里引入该文献作为参考。本发明所用的金属籽晶层包括Cu和Al,但不限于这些。在存在籽晶层时,利用包括溅射、CVD和镀敷等常规技术形成该层。
除可选择的籽晶层26外,形成由如Cu、Al或Cu或Al的合金等导电材料构成的导电区28,以至少填充沟槽区的内部。导电区28的优选材料是Cu。请参考共同待审和共同转让的US申请08/768107,该申请是Andricacos等人于1996年12月16日申请的,这里引入作参考,用于说明在沟槽区中形成导电材料的不同方法。图2中,导电区28延伸到沟槽外,并构图成所要求的形状。本发明的方案中还包括不延伸到沟槽外的平面化的导电区。可以由α-W构成或者也可以不由α-W构成的阻挡层36可以防止导电区28与介质22接触。
图2的结构还包括利用本发明的方法形成于导电区28上的α-W阻挡层25,利用如CVD等常规淀积技术形成于阻挡层25上的介质30。合适的介质材料30包括Si3N4、氮氧化物、如Ta2O5和TiO2等金属氧化物、(Ba,Sr)TiO3(BST)、(Pb,LaZr)TiO3(PLZT),但不限于这些。图2所示的平板电容的最后部件是利用包括镀敷等常规淀积技术形成的电极32。形成电极32所用的材料包括W、Cu、Al、Pd、多晶硅和Pt,但不限于这些。
在说明如何形成图2中的α-W阻挡层24和25之前,应注意图3的互连结构。具体说,图3展示了包括下互连级40和上互连级42的双镶嵌结构。每级包括通孔区和金属区。通孔区与金属区的区别在于,与金属区的开口相比,具有较窄的开口。下互连级40包括具有填充有α-W阻挡层24的开口或沟槽的介质22、可选择的金属籽晶层26及导电区28。下互连级40的上部是上互连级42,其包括具有暴露下互连级40的填充沟槽的沟槽区的介质44。上互连级42的沟槽区填充有α-W阻挡层24、可选择的籽晶层26和导电材料28。每个互连极之间形成有阻挡层36。在用α-W作阻挡层36时,可采用本发明的方法。另外,在采用除α-W外的其它阻挡材料时,可用常规淀积方法形成阻挡层。
与图2所示的电容器结构的情况一样,除利用以下将介绍的本发明方法在沟槽侧壁和底部上形成α-W阻挡层外,图3所示的镶嵌结构可利用所属领域公知的标准镶嵌处理步骤形成。
图3所示的许多部件与图2所示的相同,所以关于这些部件的说明这里应用于该图。先前没有介绍的部件只有介质44,其可以由与介质22相同或不同的材料构成。
下面具体介绍图2和3所示的α-W阻挡层及包含沟槽区或通孔区和导电区的其它互连结构的形成方法。请参见于1996年10月30日申请的US申请08/739765和1998年2月10日申请的09/021262,这里引入这些文献作参考,其中利用以W(CO)6作源材料的CVD淀积形成W栅材料的方法。
具体说,使用以W(CO)6作源材料的CVD,在如下限定的足以在介质22或44的沟槽内形成α-W阻挡层24的温度和压力下,在介质22和/或44的沟槽内形成如层24的α-W阻挡层,以提供连续覆盖沟槽侧壁和底部的保形层。应强调的是,除在导电区28上形成阻挡层25外,采用相同的条件形成图2所示的α-W阻挡层25。
参见图4,该图展示了可用于本发明形成α-W阻挡层的CVD设备。具体说,该CVD设备包括装载锁定不锈钢部分50,该部分包括图4未示出的石墨样品固定盒,含有开口槽或通孔的互连结构设置于其中。该CVD设备还包括:室52,其中含有用于在淀积期间加热样品的加热器(未示出);用于控制淀积前的基本压力的超高真空泵54;用于控制淀积时反应器压力的第二超高真空泵56。
源材料58,六羰基钨W(CO)6从箱64通过不锈钢阀60引入到室52,流向装于CVD设备中的开口槽互连结构。
图4所示CVD设备的具体操作包括首先将互连结构放入部分50中,其中系统的基本压力通过超高真空泵54降低到要求水平。达到所要求基本压力后,用管道62将互连结构推进用真空泵56和加热器控制淀积条件的室52中。通过阀60从箱64将源材料58引入到室52中,用于淀积工艺。
任何级别的W(CO)6都可用于本发明形成α-W阻挡层。如果用低纯度的W(CO)6,则可以在将之引入室52前用所属领域的公知净化技术对其加以提纯。
进行淀积步骤前,反应器的基本压力抽空到约1×10-8乇或以下。本发明要求这种基本压力是为了在淀积前去除可能存在于源材料或系统中的污染物如氧。这些污染物的存在可能会妨碍纯α-W的形成。
W(CO)6的CVD在约250℃-约600℃的温度下进行。更优选的是在约275℃-约500℃的温度下进行W的CVD淀积。为获得最佳导电率,在低于450℃的温度下淀积时,希望可以在W源材料中加入氢。淀积期间反应器的压力为约1×10-6乇到约3×10-3乇。更优选的是W(CO)6的淀积在约1×10-4乇到约2×10-3乇的压力下进行。
CVD工艺进行约3分钟到约4小时。也可以采用比上述这些特定时间更长或更短的淀积时间。
应注意,这些条件足以在互连结构的沟槽内形成α-W阻挡层24。同样的条件还可以在导电区28上形成α相阻挡层25,如图2所示。注意这里用术语“α相”表示具有体心立方(bbc)结构的W,即W原子位于立方阵列的每个角,并且一个W原子位于立方阵列的中间的晶体结构。还要强调的是,这些CVD条件足以形成具有单相的W。这对于互连结构来说是重要的,因为多相会在阻挡层中形成缺陷,进而会导致防止Cu外扩散的阻挡层失效。这种现象会在热动态较不稳定相(β-W)被加热转变到更稳定相(α-W)时发生,同时比容改变。这种比容的改变会在材料中产生Cu将沿之行进的微龟裂。这种阻挡层失效最终会导致器件退化或器件失效。
还应注意,在上述条件下,包括厚度小于15nm的α-W的保形且连续的阻挡层提供在沟槽内。更具体说,上述条件足以形成厚度不大于7.0nm的α-W阻挡层。也可以提供由该淀工艺形成的更小或更大厚度的连续膜。在给定平坦表面上CVD淀积的α-W的典型器件尺寸的平均厚度偏差不大于5%。
还要注意,本发明的α-W阻挡层可以满足本申请背景技术部分所述的所有要求。因此,提供了不渗透Cu扩散、良好地的粘附性及可保形且连续地覆盖大高宽比沟槽等此前所述现有技术的阻挡层不能满足的大高宽比沟槽等特性。
还应理解,利用CVD或溅射技术淀积W是所属领域的公知技术,其一般用作封装应用的布线方法。在现有的CVD应用中,一般用六氟化钨WF6而不是W(CO)6作源材料。由于需要相对高的温度生产纯W膜,所以在布线应用中几乎不用羰基钨。
现有技术已公开了利用WF6CVD技术形成W层。然而,该工艺本质上不同于本发明,其中不能用WF6在介质材料上直接淀积W。相反,必须首先利用化学激活步骤在介质材料上积厚硅层,然后在其稳定态将硅反应掉(形成SiF4)留下W。
而且,已确定,甚至是非常厚(250nm)的氧化膜暴露于WF6,无一例外地会导致器件失效,即导致氧化物变为过于易于泄漏其电流。已采用了除CVD外的其它技术如溅射钨等,但现有技术工艺没有一个能够直接在介质材料上淀积α-W,以实现本发明的目的。
以下给出展示本发明范围的例子。因为该例子仅仅为了展示,所以隐含于其中的本发明并不限于此。
为例示本发明的α-W阻挡层的效果进行了一系列的实验。
1.不渗透性的证明
根据上述CVD处理条件,将包括形成于硅表面上的厚300nm的平坦Cu层的衬底暴露于W(CO)6。具体说,在约450℃的温度,约5×10-4乇的淀积压力下,在CVD设备中进行W淀积。在这些条件下淀积约8分钟,便足以形成厚约7nm的连续α-W阻挡层。
用X射线光电发射谱(XPS)分析该所得结构,以证实表面上不存在Cu。然后在500℃的真空中退火表面上没有Cu的该样品70小时。这些试验条件比标准处理条件--450℃下的3小时——般足以减少现有阻挡层中的失效所用的条件更严格。退火后,用XPS分析样品,看是否有Cu通过α-W阻挡层扩散,但是没有观察到。
在另一实验中,利用上述相同条件,通过CVD在预先形成于Si衬底上的SiO2层上淀积α-W。后来在CVD α-W层的上部淀积Al和Cu点,随后用作金属掩模,而两金属掩模间的W被离子铣掉(milled away)。
然后对离子铣过的样品在300℃的温度和2MV/cm的电压下进行偏温应力试验(BTS)5小时。这些条件一般足以使Cu扩散到SiO2介质层中。此后,通过改变电压和在要求电压下测量电容,对样品进行三角电压扫描(TVS)。图5展示出TVS实验的结果。具体说,图5示出了该样品中存在两个峰。确定为这些峰为原本存在于样品中的钠峰。没有观察到Al或Cu峰—即表明在一般Cu残留的负电压范围内没有发现峰--。TVS数据表明本发明的α-W阻挡层的存在防止了Cu扩散进SiO2介质层。
上述两实验的结果表明了CVD淀积的α-W是一坚固的扩散阻挡层。
II.粘附性的证明
利用上述CVD方法,在预先形成于Si衬底上的SiO2层上,按不同厚度(3-100nm)且按由覆盖式膜和长布线结构构成的结构形成数个W样品,。利用所属领域公知的透明胶带技术试验粘附性,这些试验的结果表明,粘附性一直很好(胶带试验),在高达750℃的温度剥离后仍保持如此。
在比较研究中,利用溅射在预先形成于Si衬底上的SiO2上淀积W。在与CVD淀积的α-W相同的高温剥离条件下,含溅射W的布线结构剥离。
III.保形覆盖的证明
在目前的实践中,只需要覆盖一般高宽比为3∶1宽约0.3微米的沟槽。为了提供严格的保形覆盖试验,制造基本宽度只有约0.05微米且高宽比约5∶1的结构。从SEM数据可以看出,CVD淀积的α-W生长的保形性很好,以致于这些结构完全被α-W填充。这代表一个比仅给侧壁提供覆盖更难的方案。所以,该方法的保形生长特性远超过目前实际需要的保形性。
IV.根据本发明形成的α-W和溅射W之间的结构差异的证明
用X射线结晶学分析含有在预先形成于Si衬底上的SiO2层上50nm溅射的W的第一样品,和含有α-W的第二样品,所说α-W是利用本发明的低温/低压CVD工艺在预先形成于Si衬底上的SiO2层上形成的。首先,参考图6(a),图6(a)示出了CVD淀积W的X射线数据,具体说,该图展示了2θ图中的单对称峰,其特征在于110 α-W。关于溅射W,参考图6(b)中的X射线数据。具体说,图6(b)展示了溅射W含有α-W和β-W,表明该峰是其内含有两对称峰的不对称峰。这个数据清楚地表明溅射W形成了α相W和β相W。如上所述,不希望在满足本申请背景技术部分所述的所有各项要求的所得阻挡层中存在这两相。
尽管实际上结合优选实施例展示和介绍了本发明,但应理解,所属领域的技术人员在形式上和细节上可以做出前述和其它改变,而不脱离本发明的精神实质和范围。

Claims (49)

1.一种结构,包括在其一部分上具有α-W层的至少一材料层,和在所说α-W层上形成的导电材料。
2.如权利要求1的结构,还包括所说α-W层和所说导电材料之间的金属籽晶屋。
3.如权利要求1的结构,其中所说材料是介质材料或半导体材料。
4.如权利要求3的结构,其中所说材料是选自聚酰亚胺、硅聚合物、金刚石、类金刚石碳、氟化类金刚石碳、SiO2和Si3N4组中的介质材料。
5.如权利要求4的结构,其中所说介质材料是SiO2
6.如权利要求1的结构,其中所说α-W层是单相层。
7.如权利要求1的结构,其中所说α-W层是利用以W(CO)6作源材料的化学汽相淀积法形成的。
8.如权利要求1的结构,其中所说α-W层的厚度小于15nm。
9.如权利要求8的结构,其中所说α-W层的小厚度大于或等于7nm。
10.如权利要求4的结构,其中所说介质材料是掺杂或未掺杂的。
11.如权利要求10结构,其中所说掺杂剂选自氟、硼、硅、Ge和磷。
12.如权利要求1的结构,其中导电材料选自Cu、Al、Cu或Al的合金。
13.如权利要求12的结构,其中所说导电材料是Cu。
14.如权利要求2的结构,其中所说可选的金属籽晶层包括Cu或Al。
15.如权利要求3的结构,其中所说半导体材料是多晶硅。
16.如权利要求1的结构,其中所说α-W层还包括氢。
17.一种互连结构,至少包括其中至少具有一个沟槽或通孔区的一层介质材料,其特征在于,所说沟槽或通孔区包括侧壁和底壁;α-W保形阻挡层覆盖所说沟槽或通孔区的侧壁和底部,导电材料形成于所说α-W阻挡层之上。
18.如权利要求17的互连结构,还包括所说α-W阻挡层和所说导电材料之间的金属籽晶层。
19.如权利要求17的互连结构,其中所说介质材料层是选自电容器、镶嵌结构或含有多通孔和布线级的布线结构的一种互连结构的一部分。
20.如权利要求17的互连结构,其中所说α-W阻挡层是单相材料。
21.如权利要求17的互连结构,其中所说α-W阻挡层是利用以W(CO)6作源材料的化学汽相淀积形成的。
22.如权利要求17的互连结构,其中所说α-W阻挡层的厚度小于15nm。
23.如权利要求22的互连结构,其中所说α-W阻挡层的最小厚度大于或等于7nm。
24.如权利要求17的互连结构,其中所说介质材料是无机或有机介质材料。
25.如权利要求24的互连结构,其中所说介质材料选自聚酰亚胺、硅聚合物、金刚石、类金刚石碳、氟化类金刚石碳、SiO2和Si3N组中的介质材料。
26.如权利要求25的互连结构,其中所说介质材料是SiO2
27.如权利要求17的互连结构,其中所说介质材料是掺杂或未掺杂的。
28.如权利要求27的互连结构,其中所说掺杂剂选自氟、硼、硅、Ge和磷。
29.如权利要求17的互连结构,其中所说导电材料选自Cu、Al、和Cu和Al的合金。
30.如权利要求29的互连结构,其中所说导电材料是Cu。
31.如权利要求18的互连结构,其中所说可选的金属籽晶层包括Cu或Al。
32.如权利要求17的互连结构,其中所说α-W阻挡层还包括氢。
33.一种用于互连结构的阻挡层,其特征在于,所说阻挡层包括α-W,所说α-W仅具有单相。
34.如权利要求33的阻挡层,其中所说α-W的厚度小于1 5nm。
35.如权利要求34的阻挡层,其中所说α-W的最小厚度大于或等于7nm。
36.如权利要求33的阻挡层,其中所说α-W是利用以W(CO)6作为源源化学汽相淀积形成的。
37.如权利要求33的阻挡层,其中所说α-W阻挡层还包括氢。
38.一种在互连结构的沟槽或通孔内形成α-W阻挡层的方法,其特征在于,包括:
在所说互连结构的所说沟槽区内淀积α-W层,其中所说淀积包括利用W(CO)6作源材料的化学汽相淀积。
39.如权利要求38的方法,其中所说α-W是单相材料。
40.如权利要求39的方法,其中淀积后所说α-W层的厚度小于15nm。
41.如权利要求40的方法,其中淀积后所说α-W层的最小厚度大于或等于7nm。
42.如权利要求38的方法,其中所说淀积步骤在250℃-500℃的温度下进行。
43.如权利要求42的方法,其中所说淀积步骤在275℃-600℃下进行。
44.如权利要求38的方法,其中所说淀积步骤在1×10-6-3×10-3乇的压力下进行。
45.如权利要求44的方法,其中所说淀积步骤在1×10-4-2×10-3乇的压力下进行。
46.如权利要求38的方法,其中所说淀积步骤进行3分钟-4小时。
47.如权利要求38的方法,其中所说源材料还包括氢。
48.如权利要求38的方法,其中在进行所说淀积步骤前,基本压力抽空到1×10-6乇或更低的压力。
49.如权利要求38的方法,其中所说淀积步骤的平均厚度偏差小于或等于5%。
CNB991044428A 1998-04-30 1999-03-29 超薄单相金属导体扩散阻挡层 Expired - Lifetime CN1134060C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/070394 1998-04-30
US09/070,394 1998-04-30
US09/070,394 US6452276B1 (en) 1998-04-30 1998-04-30 Ultra thin, single phase, diffusion barrier for metal conductors

Publications (2)

Publication Number Publication Date
CN1233852A CN1233852A (zh) 1999-11-03
CN1134060C true CN1134060C (zh) 2004-01-07

Family

ID=22095033

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB991044428A Expired - Lifetime CN1134060C (zh) 1998-04-30 1999-03-29 超薄单相金属导体扩散阻挡层

Country Status (7)

Country Link
US (2) US6452276B1 (zh)
EP (1) EP0954016A3 (zh)
JP (1) JP3177228B2 (zh)
KR (1) KR100386529B1 (zh)
CN (1) CN1134060C (zh)
SG (1) SG81271A1 (zh)
TW (1) TW482826B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3219056B2 (ja) * 1998-08-12 2001-10-15 日本電気株式会社 有機絶縁膜の加工方法
US6503375B1 (en) 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
KR100472731B1 (ko) * 2000-06-30 2005-03-08 주식회사 하이닉스반도체 씨드층 제거 공정을 생략할 수 있는 반도체 메모리 소자제조 방법
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
TW523792B (en) * 2000-09-07 2003-03-11 Toshiba Corp Semiconductor device and its manufacturing method
WO2002029892A2 (en) * 2000-10-03 2002-04-11 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6888014B2 (en) * 2001-07-24 2005-05-03 Panagin Pharmaceuticals Inc. Dammarane sapogenins, their use as anti-cancer agents, and a process for producing same
US6472314B1 (en) * 2001-10-02 2002-10-29 Lsi Logic Corporation Diamond barrier layer
EP1622698B1 (en) * 2003-05-02 2010-01-06 Worcester Polytechnic Institute Composite gas separation modules having high tamman temperature intermediate layers
US20060063025A1 (en) * 2004-04-07 2006-03-23 Jing-Yi Huang Method and system for making thin metal films
US7727596B2 (en) * 2004-07-21 2010-06-01 Worcester Polytechnic Institute Method for fabricating a composite gas separation module
JP2006097099A (ja) * 2004-09-30 2006-04-13 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
WO2008124062A1 (en) * 2007-04-05 2008-10-16 Worcester Polytechnic Institute Composite structures with porous anodic oxide layers and methods of fabrication
JP2009135139A (ja) * 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8994179B2 (en) * 2008-08-29 2015-03-31 Infineon Technologies Ag Semiconductor device and method for making same
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8652239B2 (en) 2010-05-03 2014-02-18 Worcester Polytechnic Institute High permeance sulfur tolerant Pd/Cu alloy membranes
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9723716B2 (en) * 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9165824B2 (en) * 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
CN104157607B (zh) * 2014-09-01 2017-02-15 上海先进半导体制造股份有限公司 钨淀积工艺的背面压力的优化方法
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9583462B2 (en) 2015-01-22 2017-02-28 Qualcomm Incorporated Damascene re-distribution layer (RDL) in fan out split die application
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
JP6975584B2 (ja) * 2017-09-07 2021-12-01 東京エレクトロン株式会社 半導体装置
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US11476267B2 (en) * 2019-05-24 2022-10-18 Applied Materials, Inc. Liner for V-NAND word line stack
US11670547B2 (en) 2021-01-15 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method of making

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3639165A (en) * 1968-06-20 1972-02-01 Gen Electric Resistor thin films formed by low-pressure deposition of molybdenum and tungsten
US4451503A (en) 1982-06-30 1984-05-29 International Business Machines Corporation Photo deposition of metals with far UV radiation
JPS59132136A (ja) 1983-01-19 1984-07-30 Hitachi Ltd 半導体装置の製造方法
US4619840A (en) 1983-05-23 1986-10-28 Thermco Systems, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
US4817557A (en) 1983-05-23 1989-04-04 Anicon, Inc. Process and apparatus for low pressure chemical vapor deposition of refractory metal
JPS61217576A (ja) 1985-03-20 1986-09-27 Hitachi Ltd タングステン薄膜の形成方法
US4741928A (en) * 1985-12-27 1988-05-03 General Electric Company Method for selective deposition of tungsten by chemical vapor deposition onto metal and semiconductor surfaces
KR900003618B1 (ko) * 1986-05-30 1990-05-26 후지쓰가부시끼가이샤 반도체장치 및 그 제조방법
US4924295A (en) * 1986-11-28 1990-05-08 Siemens Aktiengesellschaft Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same
US4902645A (en) * 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
US5212400A (en) 1988-02-18 1993-05-18 International Business Machines Corporation Method of depositing tungsten on silicon in a non-self-limiting CVD process and semiconductor device manufactured thereby
US4926237A (en) * 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
JPH02307210A (ja) * 1989-05-22 1990-12-20 Matsushita Electron Corp X線マスクの製造方法
JP2765967B2 (ja) * 1989-07-26 1998-06-18 沖電気工業株式会社 半導体素子
JPH0475750U (zh) * 1990-11-15 1992-07-02
JP3211352B2 (ja) 1992-03-12 2001-09-25 ソニー株式会社 半導体装置におけるメタルプラグの形成方法
EP0575688B1 (en) 1992-06-26 1998-05-27 STMicroelectronics S.r.l. Programming of LDD-ROM cells
US5583067A (en) * 1993-01-22 1996-12-10 Intel Corporation Inverse T-gate semiconductor device with self-aligned punchthrough stops and method of fabrication
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
US5539230A (en) 1995-03-16 1996-07-23 International Business Machines Corporation Chimney capacitor
JP3391933B2 (ja) 1995-04-27 2003-03-31 沖電気工業株式会社 半導体素子とその製造方法
JP3422345B2 (ja) * 1995-05-31 2003-06-30 日本電信電話株式会社 タングステン膜の形成方法
JPH108251A (ja) 1996-06-19 1998-01-13 Fujitsu Ltd 半導体装置の製造方法
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
JP3353874B2 (ja) * 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
US5789312A (en) * 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US5847462A (en) * 1996-11-14 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer
US6713391B2 (en) * 1997-07-11 2004-03-30 Honeywell International Inc. Physical vapor deposition targets
US6271128B1 (en) * 2000-09-29 2001-08-07 Vanguard International Semiconductor Corp. Method for fabricating transistor
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US6452276B1 (en) 2002-09-17
CN1233852A (zh) 1999-11-03
JP3177228B2 (ja) 2001-06-18
JPH11330006A (ja) 1999-11-30
EP0954016A3 (en) 2002-07-17
SG81271A1 (en) 2001-06-19
KR100386529B1 (ko) 2003-06-02
US20020175418A1 (en) 2002-11-28
KR19990082732A (ko) 1999-11-25
TW482826B (en) 2002-04-11
US7172968B2 (en) 2007-02-06
EP0954016A2 (en) 1999-11-03

Similar Documents

Publication Publication Date Title
CN1134060C (zh) 超薄单相金属导体扩散阻挡层
CN2720637Y (zh) 内联机结构
US7504674B2 (en) Electronic apparatus having a core conductive structure within an insulating layer
US6294836B1 (en) Semiconductor chip interconnect barrier material and fabrication method
EP0982771B1 (en) Process for semiconductor device fabrication having copper interconnects
CN1783476A (zh) 集成电路的内连线结构
CN1531755A (zh) 利用牺牲材料的半导体构造及其制造方法
WO2004107434A1 (ja) 配線構造およびその製造方法
CN100352035C (zh) 用于高纵横比半导体器件的掺硼氮化钛层
JP3113800B2 (ja) 半導体装置の配線形成方法
WO1986001640A1 (en) Diffusion barrier layer for integrated-circuit devices
US20100193956A1 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
US20080157375A1 (en) Semiconductor device having a metal interconnection and method of fabricating the same
US7875978B2 (en) Metal line having a multi-layered diffusion layer in a semiconductor device and method for forming the same
CN2793918Y (zh) 半导体装置
KR20030050062A (ko) 반도체 소자의 구리 배선 형성방법
CN1434508A (zh) 半导体装置
JP2739829B2 (ja) 半導体装置の製造方法
JP2008010630A (ja) 半導体装置およびその製造方法
US20200203271A1 (en) Interconnect structure and method for manufacturing the same
KR100231732B1 (ko) 반도체 소자의 전하저장전극 형성 방법
KR20010061524A (ko) 반도체 소자의 금속배선 형성방법
KR20010084502A (ko) 반도체 장치의 금속층 형성 방법
KR20010110910A (ko) 고유전체 캐패시터의 제조 방법
CN1476058A (zh) 在铜金属图案表面形成密封层的方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20040107

CX01 Expiry of patent term