CN112368415A - 衬底处理系统中的衬底支撑件的动态温度控制 - Google Patents
衬底处理系统中的衬底支撑件的动态温度控制 Download PDFInfo
- Publication number
- CN112368415A CN112368415A CN201980045350.0A CN201980045350A CN112368415A CN 112368415 A CN112368415 A CN 112368415A CN 201980045350 A CN201980045350 A CN 201980045350A CN 112368415 A CN112368415 A CN 112368415A
- Authority
- CN
- China
- Prior art keywords
- resistances
- resistive heaters
- temperature
- regions
- substrate support
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 110
- 238000012545 processing Methods 0.000 title claims abstract description 51
- 230000004044 response Effects 0.000 claims abstract description 8
- 238000000034 method Methods 0.000 claims description 59
- 238000012544 monitoring process Methods 0.000 claims description 18
- 238000004519 manufacturing process Methods 0.000 claims description 9
- 230000008569 process Effects 0.000 description 31
- 239000007789 gas Substances 0.000 description 16
- 235000012431 wafers Nutrition 0.000 description 11
- 238000010438 heat treatment Methods 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000002826 coolant Substances 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 230000006870 function Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000009529 body temperature measurement Methods 0.000 description 2
- 238000012512 characterization method Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000007257 malfunction Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- -1 oxide Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/50—Substrate holders
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/541—Heating or cooling of the substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05B—ELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
- H05B1/00—Details of electric heating devices
- H05B1/02—Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05B—ELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
- H05B1/00—Details of electric heating devices
- H05B1/02—Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
- H05B1/0227—Applications
- H05B1/023—Industrial applications
- H05B1/0233—Industrial applications for semiconductors manufacturing
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Control Of Resistance Heating (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Physical Vapour Deposition (AREA)
- Shaping Of Tube Ends By Bending Or Straightening (AREA)
- Drying Of Semiconductors (AREA)
- Control Of Temperature (AREA)
Abstract
一种用于衬底处理系统的温度受控衬底支撑件包含位于处理室中的衬底支撑件。衬底支撑件分别包括N个区域和N个电阻式加热器,其中N是大于1的整数。温度传感器位于所述N个区域中的一个中。控制器被配置成计算在操作期间所述N个电阻式加热器的N个电阻以及响应于以下条件,在所述衬底处理系统的操作期间调整通向所述N个电阻式加热器中的N‑1个的功率:通过所述温度传感器在所述N个区域中的所述一个中所测得的温度;所述N个电阻式加热器的所述N个电阻;以及N‑1个电阻比。
Description
相关申请的交叉引用
本申请要求于2018年7月5日申请的美国专利申请No.62/694,171的优先权。上述引用的申请其全部公开内容通过引用合并于此。
技术领域
本公开涉及衬底处理系统,并且更具体地涉及包括衬底支撑件的动态温度控制的衬底处理系统。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于对衬底(诸如半导体晶片)执行蚀刻、沉积和/或其他处理。可在衬底上执行的示例性工艺包括但不限于化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、原子层蚀刻(ALE)、等离子体增强原子层沉积(PEALD)和/或其他蚀刻、沉积、和清洁工艺。在处理期间,衬底配置在衬底处理系统的处理室中的衬底支撑件(诸如基座、静电卡盘(ESC)等)上。将工艺气体混合物引入处理室以处理衬底。在一些示例中,可激励等离子体以增强处理室内的化学反应。
在衬底处理期间,衬底的温度可以由配置于衬底支撑件中的电阻式加热器控制。在一些示例中,电阻式加热器被配置成两个或更多个单独控制的区域。保持由电阻式加热器加热的区域的热均匀性通常需要对每个区域进行直接温度测量或者单独校准的间接温度测量(例如,通过加热器电阻与温度的已知关系)。
发明内容
一种用于衬底处理系统的温度受控衬底支撑件包含位于处理室中的衬底支撑件。衬底支撑件分别包括N个区域和N个电阻式加热器,其中N是大于1的整数。温度传感器位于所述N个区域中的一个中。控制器被配置成计算在操作期间所述N个电阻式加热器的N个电阻以及响应于以下条件,在所述衬底处理系统的操作期间调整通向所述N个电阻式加热器中的N-1个的功率:通过所述温度传感器在所述N个区域中的所述一个中所测得的温度;所述N个电阻式加热器的所述N个电阻;以及N-1个电阻比。
在其他特征中,当所述衬底支撑件处于均匀温度时,通过分别测量在所述N个区域中的所述N个电阻式加热器的所述N个电阻、并将所述N个区域中的N-1个的所述N个电阻中的N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个来确定所述N-1个电阻比。
在其他特征中,所述均匀温度对应于环境温度。所述N-1个区域不包含温度传感器。所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:监控分别供应给所述N个电阻式加热器的N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
在其他特征中,所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:监控分别供应给所述N个电阻式加热器的N个电流;以及基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
在其他特征中,所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
在其他特征中,所述控制器被配置成基于通过所述温度传感器所测量的温度来控制通向所述N个区域中的所述一个的功率。
一种制造用于衬底处理系统的衬底支撑件的方法包含:在衬底支撑件的N个区域中嵌入N个电阻式加热器;将温度传感器嵌入所述衬底支撑件的所述N个区域中的一个中;测量所述衬底支撑件的所述N个区域中的所述N个电阻式加热器的N个电阻;基于所述N个电阻确定N-1个电阻比;将控制器连接至所述N个电阻式加热器和所述温度传感器;以及将所述控制器编程,以在所述衬底处理系统的操作期间,响应于以下条件,分别控制所述N个区域中的N-1个中的所述N个电阻式加热器中的N-1个中的温度:在所述N个区域中的所述一个中的所测得的温度;所述N个电阻式加热器的所述N个电阻;以及所述N-1个电阻比。
在其他特征中,所述N-1个电阻比通过以下步骤计算:当所述衬底支撑件处于均匀温度时,分别确定所述N个电阻式加热器的所述N个电阻;以及将所述N个区域中的所述N-1个的所述N个电阻中的所述N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个。
在其他特征中,所述均匀温度对应于环境温度。所述N个区域中的所述N-1个不包含温度传感器。测量所述N个电阻式加热器的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
在其他特征中,测量所述N个区域的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电流;以及基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
在其他特征中,在操作期间测量所述N个区域的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
一种用于控制衬底处理系统的衬底支撑件中的多个区域的温度的方法,其包含:在所述衬底处理系统的操作期间,使用位于衬底支撑件的N个区域中的一个的温度传感器来测量所述N个区域中的所述一个中的温度,其中N是大于1的整数;在所述衬底处理系统的操作期间,分别测量位于所述N个区域中的N个电阻式加热器的N个电阻;以及在所述衬底处理系统的操作期间,分别调整通向所述N个区域中的N-1个中的所述N个电阻式加热器中的N-1个的功率,以响应于以下条件而控制所述N个区域中的所述N-1个中的温度:在所述N个区域中的所述一个中的所测得的所述温度;所述N个电阻式加热器的所述N个电阻;以及N-1个电阻比。
在其他特征中,所述N-1个电阻比通过以下步骤计算:当所述衬底支撑件处于均匀温度时,分别确定所述N个电阻式加热器的所述N个电阻;以及将所述N个区域中的N-1个的所述N个电阻中的N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个。
在其他特征中,所述均匀温度对应于环境温度。所述N个区域中的所述N-1个不包含温度传感器。测量所述N个电阻式加热器的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
在其他特征中,测量所述N个区域的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电流;以及基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
在其他特征中,在操作期间测量所述N个区域的所述N个电阻包括:监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
在其他特征中,所述方法还包含基于通过所述温度传感器所测得的所述温度来控制通向所述N个区域中的所述一个的功率。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是衬底处理系统的示例的功能框图,所述衬底处理系统包括用于根据本公开内容的分区式温度控制部件(诸如衬底支撑件)的动态温度控制器;
图2是用于具有根据本公开内容的电阻式加热器区域的部件的动态温度控制系统的示例的功能框图;
图3A至图3C说明根据本公开内容的包括多数加热器区域的基座的示例:
图4A和图4B是曲线图,其说明用于根据本公开内容的两区域加热器的电阻和电阻比作为温度的函数;
图5是流程图,其说明用于执行根据本公开内容的动态温度控制的方法的示例;
图6说明了用于根据现有技术的温度控制器的作为时间的函数的基座温度的控制:和
图7说明用于根据本公开内容的动态温度控制器的作为时间的函数的基座温度的控制。
在附图中,可以重复使用附图标记来标识相似和/或相同的组件。
具体实施方式
虽然本公开内容包括与衬底处理系统的衬底支撑件中的多数区域的温度控制有关的特定示例,但是本文阐述的系统和方法可应用于利用分区式电阻加热的其他类型的部件中的温度控制。
在一些应用中,在衬底处理期间期望整个衬底的温度均匀性。在这些应用中,可将功率独立地供应给每一电阻式加热器。然而,多区域加热器系统通常只有单一个热电偶,所述热电偶位于这些区域中的一个内以测量衬底支撑温度。功率以供应至具有热电偶的该区域的功率的预定比率(或功率比率)(或预定的工作周期比)而供应至其余区域,以在所有区域中保持均匀的温度。功率或工作周期比率典型地针对特定工艺条件而确定,且大致上保持不变。其余区域中的实际温度是未知的,但预计会在具有热电偶的区域的预定范围内。
然而,在一些应用中,在某些工艺条件期间可发生明显的热负荷变化,这可造成一或更多区域中的温度相对带有热电偶的区域发生变化。温度变动导致不期望的工艺控制。当温度变动足够高时,衬底支撑件会发生故障。
一些加热系统依赖于加热器线圈电阻率与温度(或电阻温度系数(TCR))的关系。这些系统总体上独立地控制各个区域的温度,且通常在每一区域中都需要温度传感器或热电偶。替代地,可确定用于每一加热器元件的温度与电阻的关系及其与区域温度的关系的单独校准/特征化。
本公开内容提供了一种在提供各区域中的温度均匀性的同时规避上述要求的方式。如上所述,本公开内容跨越所有区域保持热均匀性,而不需要在每一区域中的热电偶或单独的校准/特征化。
TCR是与电阻式加热器的材料微观结构有关的材料特性。在相同的处理条件下所生产的由相同材料制成的部件预期具有相同或非常接近的TCR值。换句话说,在位于多区域衬底支撑件的不同区域中并且一起经受处理的电阻式加热器中所使用的加热器线圈元件预期具有相同或非常接近的TCR值。尽管在任何给定温度下的加热器元件的电阻可能不同,但是加热器元件的电阻随温度类似地增减。换句话说,当没有热电偶的区域中的电阻式加热器与带热电偶的区域中的电阻式加热器处于相同温度时,没有热电偶的区域中的电阻式加热器的电阻(例如,RZ1、RZ2、…RZN-1)相对于带热电偶的区域中的电阻式加热器的电阻(RZN)的每一电阻比(RZ1/RZN、RZ2/RZN、…、和RZN-1/RZN)将保持相同。因此,如果在一温度(诸如环境温度)下确定各区域的电阻,则可在其他温度(例如,从环境温度到700℃的范围中的工艺温度)下使用针对各区域的对应电阻比,以确定没有热电偶的其他区域的期望的电阻。所期望的电阻和所测得的电阻用于控制功率并在其他区域中提供均匀的温度。
更具体地,根据本公开内容的动态温度控制器确定没有热电偶的区域中的电阻式加热器的电阻(RZ1、RZ2、…RZN-1)相对于带热电偶的区域中的电阻式加热器的电阻(RZN)在预定温度下的N-1个电阻比(RZ1/RZN、RZ2/RZN、…、和RZN-1/RZN)。例如,可在室温下确定电阻比并将其应用至其他工艺温度。然后,使用N-1个电阻比(RZ1/RZN、RZ2/RZN、…、和RZN-1/RZN)和具有热电偶的区域ZN中的温度来确定没有热电偶的区域中所期望的电阻(RZ1、RZ2、…RZN-1)。
例如,如果针对第一区域Z1的电阻比等于RZ1/RZN=1.102,在期望温度下,在区域ZN中,在给定温度的测得的电阻是10Ω,则在区域Z1的期望电阻RZ1=1.102*10Ω=11.02Ω。例如,如果针对第二区域Z2的电阻比等于RZ2/RZN=1.08,在期望温度下,在具有热电偶的区域ZN中,在给定温度的测得的电阻为10Ω,则第二区域中的期望电阻RZ1=1.08*10Ω=10.8Ω。
根据本公开内容的系统和方法通过维持没有热电偶的区域中的电阻式加热器相对具有热电偶的区域中的电阻式加热器的电阻比来控制多个区域中的温度。换句话说,基于来自热电偶的温度反馈,将具有热电偶的区域的温度控制到期望温度。带热电偶的区域的电阻是在所期望的温度下测量。电阻比用于确定其余区域中的所期望的电阻,以在对应区域中达到所期望的温度。在对应区域中增加或减少加热器功率,以使对应区域中的测量电阻分别与所期望的电阻匹配。
通过使用衬底支撑件的一个区域中的温度的单一测量,可将衬底支撑件的所有其余区域动态地控制为均匀温度。其结果是,实现衬底支撑温度的均匀性,无需在其余区域中使用热电偶,也无需针对每一区域预先校准电阻与温度的关系。
现在参考图1,显示了示例性的衬底处理系统120。尽管出于说明的目的显示了用于使用电容耦合等离子体(CCP)的化学气相沉积(CVD)或原子层沉积(ALD)的处理室,但是可使用任何其他类型的衬底处理系统。
衬底处理系统120包括处理室122,其包围衬底处理系统120的其他部件且容纳RF等离子体(如果使用的话)。衬底处理系统120包括上电极124和衬底支撑件126,诸如静电卡盘(ESC)、基座等。在操作期间,衬底128配置于衬底支撑件126上。
仅作为示例,上电极124可包括引入和分配工艺气体的气体分配装置129,诸如喷头。气体分配装置129可包括杆部,所述杆部包含连接至处理室的顶部表面的一端。基底部分大致上为圆柱形,且在与处理室的顶部表面隔开的位置处从杆部的相反端径向往外地延伸。喷头的基底部分的面向衬底的表面或面板包括多个孔洞,前体、反应物、蚀刻气体、惰性气体、载气、其他工艺气体、或吹扫气体流经这些孔洞。替代地,上电极124可包括传导板,且以另一方式引入工艺气体。
衬底支撑件126包括用作下电极的底板130。底板130支撑加热板132,其可对应于陶瓷多区域加热板。可在加热板132和底板130之间配置热阻层134。底板130可包括用于使冷却剂流经底板130的一或更多通道136。
如果使用等离子体,则RF产生系统140产生RF电压并将RF电压输出至上电极124和下电极(例如,衬底支撑件126的底板130)中的一个。上电极124和底板130中的另一个可以是DC接地、AC接地或浮置的。仅作为示例,RF产生系统140可包括RF产生器142,所述RF产生器142产生通过匹配和分配网络144馈送至上电极124或底板130的RF功率。在其他示例中,等离子体可感应地或远程地产生。
气体输送系统150包括一或更多气体源152-1、152-2、...、和152-N(统称为气体源152),其中N是大于零的整数。气体源152通过阀154-1、154-2、…、和154-N(统称为阀154)和MFC 156-1、156-2、…、和156-N(统称为MFC 156)连接至歧管160。虽然显示单一气体输送系统150,但可使用二或更多个气体输送系统。
动态温度控制器163连接到配置于加热板132中的多个电阻式加热器164。动态温度控制器163还连接到配置在加热板132中的多个加热区域中的一个加热区域的热电偶165。其余的加热区域不包括热电偶。动态温度控制器163可用于控制多个电阻式加热器164,以调整和控制衬底支撑件126和衬底128的温度。
在一些示例中,动态温度控制器163和/或另一控制器也可与冷却剂组件166通信,以控制流经通道136的冷却剂流量。例如,冷却剂组件166可包括冷却剂泵、贮存器和/或一或更多个热电偶。在一些示例中,动态温度控制器163操作冷却剂组件166,以使冷却剂选择性地流经通道136以冷却衬底支撑件126。
阀170和泵172可用于从处理室122排空反应物。系统控制器180可用于控制衬底处理系统120的部件。
现在参考图2,显示了根据本公开内容的动态温度控制系统200。动态温度控制系统200包括电源220,电源220包括驱动器电路224-1、224-2、...、和224-N(统称为驱动器电路224),其中N是大于1的整数。驱动器电路224控制通向电阻式加热器的功率,如将在下面进一步描述的。
通过驱动器电路224-1、224-2、...、和224-N输出到每一区域的电流通过电流传感器228-1、228-2、...、和228-N(统称为电流传感器228)监控。通过驱动器电路224-1、224-2、…、和224-N输出到每一区域的电压通过电压传感器230-1、230-2、...、和230-N(统称为电压传感器230)监控。
部件231包括加热区域232-1、232-2、…、和232-N(统称为区域232)。在下面的描述中,部件231包括衬底支撑件。然而,可使用具有带有电阻式加热器的多个区域的任何加热部件。区域232-1、232-2、...、和232-N中的每一者包括嵌入在其中的电阻式加热器236-1、236-2、...、和236-N(统称为电阻式加热器236)。在一些示例中,电阻式加热器236包括具有对应电阻的加热器组件或线圈。区域232-1、232-2、...、和232-N中的一个包括用于感测其温度的热电偶240。区域232-1、232-2、...、和232-N中的其余区域不包括热电偶。
控制器250与电流传感器228和/或电压传感器230、热电偶240、和驱动器电路224通信。控制器250包括加热器控制模块254。基于来自电流传感器228和/或电压传感器230的相应的电流和/或电压测量值,加热器控制模块254确定每一电阻式加热器236的电阻。在一些示例中,当通过电源220将电流保持足够恒定并且变动电压以控制RF功率时,可省略电流传感器228。在其他示例中,当通过电源220将电压保持足够恒定并且变动电流以控制RF功率时,可省略电压传感器230。
加热器控制模块254利用热电偶240监控各区域232中的一个(例如,区域232-1)的温度,并基于来自热电偶240的温度反馈来控制至所述区域的功率。加热器控制模块254测量所述区域的电阻,并使用电阻比来确定其余区域232的期望电阻。加热器控制模块254控制其余区域232中的驱动器电路224,以增加或减小功率来实现所期望的电阻,从而在所有其余区域232中产生均匀的温度。
现在参考图3A至3C,显示了衬底支撑件的区域的多种示例。虽然显示了特定示例,但可使用其他区域布局。在图3A中,衬底支撑件310包括同心区域,其包括区域1、区域2和区域3。每一区域包括电阻式加热器。在图3B中,衬底支撑件350包括限定内部区域360和外部区域362的电阻式加热器线圈。在图3C中,内部区域1由圆周外部区域2、3、4和5所围绕。每一区域包括电阻式加热器。如能被理解的,可使用其他区域布局。
现在参考图4A和4B,显示了说明针对两区域加热器的电阻随温度变化的曲线图。在图4A中,在使用动态温度控制器、基于基座上的TC仪测晶片(TC instrumented wafer)驱动至均匀的内和外基座温度的基座加热期间,记录内和外加热器电阻。在图4B中,内电阻和外电阻两者在0℃下按比例缩放为1的电阻。如能理解的,斜率实际上是完全相同的。内/外电阻比显示在整个温度范围内小于0.05%的变动。这些测试支持以下想法:内和外TCR值彼此非常接近,且电阻比无需校准即可用于均匀加热基座。
现在参考图5,分别显示了用于控制部件的各区域的温度的方法400。方法400用于,使用与仅这些区域中的一者相关联的热电偶,将部件的多数区域的温度控制到均匀温度。方法400包括在410于单个温度下确定部件的每一区域中的电阻式加热器的电阻。在一些示例中,单一温度是环境温度,但是可以使用其他温度。
在414处,所述方法确定其余区域(没有热电偶)和具有热电偶的区域之间的电阻比。在418处,在操作期间感测具有热电偶的区域的温度,基于所测量的温度来控制功率,且测量具有热电偶的区域的电阻。在422处,于操作期间测量其余区域中的电阻式加热器的电阻。在426处,使用对应的电阻比来计算针对其余区域的期望电阻。在430处,基于所测量的电阻与期望的电阻之间的差来控制输出到其余区域的功率。
现在参考图5-6,分别显示了用于常规温度控制系统和根据本公开内容的动态温度控制系统的作为时间的函数的温度。在图5中,使用常规的温度控制系统,针对图3B中的衬底支撑件350,显示了作为时间的函数的温度。如可以看出的,内部区域和外部区域之间的温差大于20℃,其倾向于造成工艺不均匀、缺陷和/或对衬底支撑件的损坏。在图6中,使用本文所述的温度控制系统实现更严格的温度控制,从而减少工艺不均匀性和缺陷,并消除对衬底支撑件的损坏。
一种制造衬底处理系统的衬底支撑件的方法,其包括将N个电阻式加热器嵌入衬底支撑件的N个区域中。温度传感器嵌入在衬底支撑件的N个区域中的一个中。测量衬底支撑件的N个区域中的N个电阻式加热器的N个电阻。基于N个电阻来确定N-1个电阻比。控制器连接到N个电阻式加热器和温度传感器。将所述控制器编程,以响应于所述N个区域中的一个的测量温度、N个电阻式加热器的N个电阻、和N-1个电阻比,在所述衬底处理系统的操作期间,分别控制所述N个区域中的N-1个中的N个电阻式加热器的N-1个中的温度。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片衬底支撑件、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
Claims (23)
1.一种用于衬底处理系统的温度受控衬底支撑件,所述温度受控衬底支撑件包含:
衬底支撑件,其分别包括N个区域和N个电阻式加热器,其中N是大于1的整数,且温度传感器位于所述N个区域中的一个中;以及
控制器,其被配置成:
计算在操作期间所述N个电阻式加热器的N个电阻;以及
响应于以下条件,在所述衬底处理系统的操作期间调整通向所述N个电阻式加热器中的N-1个的功率:
通过所述温度传感器在所述N个区域中的所述一个中所测得的温度;
所述N个电阻式加热器的所述N个电阻;以及
N-1个电阻比。
2.根据权利要求1所述的温度受控衬底支撑件,其中当所述衬底支撑件处于均匀温度时,通过分别测量在所述N个区域中的所述N个电阻式加热器的所述N个电阻、并将所述N个区域中的N-1个的所述N个电阻中的N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个来确定所述N-1个电阻比。
3.根据权利要求2所述的温度受控衬底支撑件,其中所述均匀温度对应于环境温度。
4.根据权利要求1所述的温度受控衬底支撑件,其中所述N-1个区域不包含温度传感器。
5.根据权利要求1所述的温度受控衬底支撑件,其中所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:
监控分别供应给所述N个电阻式加热器的N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
6.根据权利要求1所述的温度受控衬底支撑件,其中所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:
监控分别供应给所述N个电阻式加热器的N个电流;以及
基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
7.根据权利要求1所述的温度受控衬底支撑件,其中所述控制器通过以下步骤来计算在操作期间所述N个电阻式加热器的所述N个电阻:
监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
8.根据权利要求1所述的温度受控衬底支撑件,其中所述控制器被配置成基于通过所述温度传感器所测量的所述温度来控制通向所述N个区域中的所述一个的功率。
9.一种制造用于衬底处理系统的温度受控衬底支撑件的方法,其包含:
在衬底支撑件的N个区域中嵌入N个电阻式加热器;
将温度传感器嵌入所述衬底支撑件的所述N个区域中的一个中;
测量所述衬底支撑件的所述N个区域中的所述N个电阻式加热器的N个电阻;
基于所述N个电阻确定N-1个电阻比;
将控制器连接至所述N个电阻式加热器和所述温度传感器;以及
将所述控制器编程,以在所述衬底处理系统的操作期间,响应于以下条件,分别控制所述N个区域中的N-1个中的所述N个电阻式加热器中的N-1个中的温度:
在所述N个区域中的所述一个中的所测得的温度;
所述N个电阻式加热器的所述N个电阻;以及
所述N-1个电阻比。
10.根据权利要求9所述的方法,其中所述N-1个电阻比通过以下步骤计算:
当所述衬底支撑件处于均匀温度时,分别确定所述N个电阻式加热器的所述N个电阻;以及
将所述N个区域中的所述N-1个的所述N个电阻中的所述N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个。
11.根据权利要求9所述的方法,其中所述均匀温度对应于环境温度。
12.根据权利要求9所述的方法,其中所述N个区域中的所述N-1个不包含温度传感器。
13.根据权利要求9所述的方法,其中测量所述N个电阻式加热器的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
14.根据权利要求9所述的方法,其中测量所述N个区域的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电流;以及
基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
15.根据权利要求9所述的方法,其中在操作期间测量所述N个区域的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
16.一种用于控制衬底处理系统的衬底支撑件中的多个区域的温度的方法,其包含:
在所述衬底处理系统的操作期间,使用位于衬底支撑件的N个区域中的一个的温度传感器来测量所述N个区域中的所述一个中的温度,其中N是大于1的整数;
在所述衬底处理系统的操作期间,分别测量位于所述N个区域中的N个电阻式加热器的N个电阻;以及
在所述衬底处理系统的操作期间,分别调整通向所述N个区域中的N-1个中的所述N个电阻式加热器中的N-1个的功率,以响应于以下条件而控制所述N个区域中的N-1个中的温度:
在所述N个区域中的所述一个中的所测得的所述温度;
所述N个电阻式加热器的所述N个电阻;以及
N-1个电阻比。
17.根据权利要求16所述的方法,其中所述N-1个电阻比通过以下步骤计算:
当所述衬底支撑件处于均匀温度时,分别确定所述N个电阻式加热器的所述N个电阻;以及
将所述N个区域中的N-1个的所述N个电阻中的N-1个除以对应于所述N个区域中的所述一个的所述N个电阻中的一个。
18.根据权利要求17所述的方法,其中所述均匀温度对应于环境温度。
19.根据权利要求16所述的方法,其中所述N个区域中的所述N-1个不包含温度传感器。
20.根据权利要求16所述的方法,其中测量所述N个电阻式加热器的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电压来计算所述N个电阻。
21.根据权利要求16所述的方法,其中测量所述N个区域的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电流;以及
基于分别供应给所述N个电阻式加热器的所述N个电流来计算所述N个电阻。
22.根据权利要求16所述的方法,其中在操作期间测量所述N个区域的所述N个电阻包括:
监控分别供应给所述N个电阻式加热器的N个电流和N个电压;以及
基于分别供应给所述N个电阻式加热器的所述N个电流和所述N个电压来计算所述N个电阻。
23.根据权利要求16所述的方法,其还包含基于通过所述温度传感器所测得的所述温度来控制通向所述N个区域中的所述一个的功率。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202410225223.1A CN118360588A (zh) | 2018-07-05 | 2019-07-02 | 衬底处理系统中的衬底支撑件的动态温度控制 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862694171P | 2018-07-05 | 2018-07-05 | |
US62/694,171 | 2018-07-05 | ||
PCT/US2019/040416 WO2020010153A1 (en) | 2018-07-05 | 2019-07-02 | Dynamic temperature control of substrate support in substrate processing system |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202410225223.1A Division CN118360588A (zh) | 2018-07-05 | 2019-07-02 | 衬底处理系统中的衬底支撑件的动态温度控制 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN112368415A true CN112368415A (zh) | 2021-02-12 |
CN112368415B CN112368415B (zh) | 2024-03-22 |
Family
ID=69059304
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202410225223.1A Pending CN118360588A (zh) | 2018-07-05 | 2019-07-02 | 衬底处理系统中的衬底支撑件的动态温度控制 |
CN201980045350.0A Active CN112368415B (zh) | 2018-07-05 | 2019-07-02 | 衬底处理系统中的衬底支撑件的动态温度控制 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202410225223.1A Pending CN118360588A (zh) | 2018-07-05 | 2019-07-02 | 衬底处理系统中的衬底支撑件的动态温度控制 |
Country Status (6)
Country | Link |
---|---|
US (2) | US11908715B2 (zh) |
JP (2) | JP7456951B2 (zh) |
KR (1) | KR20210019573A (zh) |
CN (2) | CN118360588A (zh) |
TW (2) | TWI818044B (zh) |
WO (1) | WO2020010153A1 (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20210249284A1 (en) * | 2020-02-12 | 2021-08-12 | Applied Materials, Inc. | Fast response dual-zone pedestal assembly for selective preclean |
US11646213B2 (en) * | 2020-05-04 | 2023-05-09 | Applied Materials, Inc. | Multi-zone platen temperature control |
US11664193B2 (en) | 2021-02-04 | 2023-05-30 | Applied Materials, Inc. | Temperature controlled/electrically biased wafer surround |
CN113699509B (zh) * | 2021-10-27 | 2022-02-01 | 苏州长光华芯光电技术股份有限公司 | 一种半导体生长设备及其工作方法 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5552998A (en) * | 1990-11-05 | 1996-09-03 | Watlow/Winona, Inc. | Method and apparatus for calibration and controlling multiple heaters |
JP2000339039A (ja) * | 1999-05-25 | 2000-12-08 | Tokyo Electron Ltd | 加熱手段の温度制御方法、その装置及び熱処理装置 |
US6270638B1 (en) * | 1997-05-23 | 2001-08-07 | Kabushiki Kaisha Riken | Pyro-sensor and pyro-control circuit |
JP2004044579A (ja) * | 2002-05-14 | 2004-02-12 | Ngk Spark Plug Co Ltd | グロープラグの制御装置およびグロープラグ |
CN101641150A (zh) * | 2007-03-23 | 2010-02-03 | 皇家飞利浦电子股份有限公司 | 具有降低的峰值功耗的集成微流体器件 |
CN103828031A (zh) * | 2011-08-17 | 2014-05-28 | 朗姆研究公司 | 用于监测复用加热器阵列的温度并控制该阵列的系统和方法 |
CN107393847A (zh) * | 2016-05-10 | 2017-11-24 | 朗姆研究公司 | 具有不同加热器迹线材料的层压加热器 |
US20170372928A1 (en) * | 2016-06-24 | 2017-12-28 | Tokyo Electron Limited | Substrate processing system and temperature control method |
Family Cites Families (88)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4780120A (en) | 1987-07-06 | 1988-10-25 | Owens-Corning Fiberglas Corporation | Bushing balance controller and method of using same |
SG108807A1 (en) | 1989-02-14 | 2005-02-28 | Seiko Epson Corp | A semiconductor device and its manufacturing method |
US5126533A (en) | 1990-03-19 | 1992-06-30 | Conductus, Inc. | Substrate heater utilizing protective heat sinking means |
US5071459A (en) | 1990-05-30 | 1991-12-10 | Ppg Industries, Inc. | Bushing balance controller for multiple segment bushings |
US5280422A (en) | 1990-11-05 | 1994-01-18 | Watlow/Winona, Inc. | Method and apparatus for calibrating and controlling multiple heaters |
JPH07153550A (ja) | 1993-12-02 | 1995-06-16 | Chubu Electric Power Co Inc | 電気ヒ−タの温度制御方法 |
US5968379A (en) | 1995-07-14 | 1999-10-19 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability and related methods |
JPH09270384A (ja) | 1996-03-29 | 1997-10-14 | Nikon Corp | 温度制御装置及び露光装置 |
JP3986598B2 (ja) | 1996-10-08 | 2007-10-03 | キヤノンアネルバ株式会社 | 基板温度制御機構 |
US6072163A (en) | 1998-03-05 | 2000-06-06 | Fsi International Inc. | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
JP2000235886A (ja) | 1998-12-14 | 2000-08-29 | Tokyo Electron Ltd | 加熱手段の温度制御装置および温度制御方法 |
US6617553B2 (en) | 1999-05-19 | 2003-09-09 | Applied Materials, Inc. | Multi-zone resistive heater |
US6423949B1 (en) | 1999-05-19 | 2002-07-23 | Applied Materials, Inc. | Multi-zone resistive heater |
JP4209057B2 (ja) | 1999-12-01 | 2009-01-14 | 東京エレクトロン株式会社 | セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法 |
DE10032465A1 (de) | 2000-07-04 | 2002-01-31 | Steag Rtp Systems Gmbh | Verfahren und Vorrichtung zum thermischen Behandeln von Objekten |
JP2002319525A (ja) | 2001-04-20 | 2002-10-31 | Ibiden Co Ltd | 半導体製造・検査装置用セラミックヒータ |
US6811651B2 (en) * | 2001-06-22 | 2004-11-02 | Tokyo Electron Limited | Gas temperature control for a plasma process |
US6897411B2 (en) | 2002-02-11 | 2005-05-24 | Applied Materials, Inc. | Heated substrate support |
US6783630B2 (en) | 2002-08-27 | 2004-08-31 | Axcelis Technologies, Inc. | Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling |
US6977575B2 (en) | 2003-05-22 | 2005-12-20 | Rtd Company | Flexible averaging resistance temperature detector |
US7196295B2 (en) | 2003-11-21 | 2007-03-27 | Watlow Electric Manufacturing Company | Two-wire layered heater system |
US20050194374A1 (en) | 2004-03-02 | 2005-09-08 | Applied Materials, Inc. | Heated ceramic substrate support with protective coating |
JP4761723B2 (ja) | 2004-04-12 | 2011-08-31 | 日本碍子株式会社 | 基板加熱装置 |
CN101061752B (zh) | 2004-09-30 | 2011-03-16 | 沃特洛电气制造公司 | 模块化的层状加热系统 |
US20070006936A1 (en) | 2005-07-07 | 2007-01-11 | Applied Materials, Inc. | Load lock chamber with substrate temperature regulation |
CN101243542B (zh) | 2005-08-17 | 2011-02-09 | 应用材料股份有限公司 | 具有焊接板和加热器的基材支撑件 |
US20070218200A1 (en) | 2006-03-16 | 2007-09-20 | Kenji Suzuki | Method and apparatus for reducing particle formation in a vapor distribution system |
JP5347214B2 (ja) | 2006-06-12 | 2013-11-20 | 東京エレクトロン株式会社 | 載置台構造及び熱処理装置 |
JP5183058B2 (ja) | 2006-07-20 | 2013-04-17 | アプライド マテリアルズ インコーポレイテッド | 急速温度勾配コントロールによる基板処理 |
US7476291B2 (en) | 2006-09-28 | 2009-01-13 | Lam Research Corporation | High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation |
KR20080070360A (ko) | 2007-01-26 | 2008-07-30 | 삼성전자주식회사 | 화학기상 증착설비 |
US20080224817A1 (en) | 2007-03-15 | 2008-09-18 | Sokudo Co., Ltd. | Interlaced rtd sensor for zone/average temperature sensing |
KR200454281Y1 (ko) | 2007-10-16 | 2011-06-23 | 노벨러스 시스템즈, 인코포레이티드 | 온도 제어 샤워헤드 |
WO2009086013A2 (en) | 2007-12-21 | 2009-07-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US8249436B2 (en) | 2008-05-02 | 2012-08-21 | Applied Materials, Inc. | System for non radial temperature control for rotating substrates |
US9155134B2 (en) | 2008-10-17 | 2015-10-06 | Applied Materials, Inc. | Methods and apparatus for rapidly responsive heat control in plasma processing devices |
TWI385727B (zh) | 2009-01-16 | 2013-02-11 | Marketech Int Corp | Applied to the photovoltaic industry, the semiconductor industry, the vacuum environment with high temperature temperature control zone temperature control heater |
CN102308380B (zh) | 2009-02-04 | 2014-06-04 | 马特森技术有限公司 | 用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法 |
US9431237B2 (en) | 2009-04-20 | 2016-08-30 | Applied Materials, Inc. | Post treatment methods for oxide layers on semiconductor devices |
US8637794B2 (en) | 2009-10-21 | 2014-01-28 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
JP5567318B2 (ja) * | 2009-11-20 | 2014-08-06 | 株式会社国際電気セミコンダクターサービス | 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法 |
JP6066728B2 (ja) | 2009-12-15 | 2017-01-25 | ラム リサーチ コーポレーションLam Research Corporation | Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム |
US8880227B2 (en) | 2010-05-27 | 2014-11-04 | Applied Materials, Inc. | Component temperature control by coolant flow control and heater duty cycle control |
US8840301B2 (en) | 2010-06-08 | 2014-09-23 | Analysis & Measurement Services Corporation | Diverse and redundant resistance temperature detector |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US20120118225A1 (en) * | 2010-09-16 | 2012-05-17 | Applied Materials, Inc. | Epitaxial growth temperature control in led manufacture |
WO2012112187A1 (en) | 2011-02-15 | 2012-08-23 | Applied Materials, Inc. | Method and apparatus for multizone plasma generation |
US8637410B2 (en) | 2011-04-08 | 2014-01-28 | Applied Materials, Inc. | Method for metal deposition using hydrogen plasma |
US8552346B2 (en) | 2011-05-20 | 2013-10-08 | Applied Materials, Inc. | Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber |
US10242890B2 (en) | 2011-08-08 | 2019-03-26 | Applied Materials, Inc. | Substrate support with heater |
EP2752083A1 (en) | 2011-08-30 | 2014-07-09 | Watlow Electric Manufacturing Company | System and method for controlling a thermal array |
US9324589B2 (en) | 2012-02-28 | 2016-04-26 | Lam Research Corporation | Multiplexed heater array using AC drive for semiconductor processing |
US9490150B2 (en) | 2012-07-03 | 2016-11-08 | Applied Materials, Inc. | Substrate support for substrate backside contamination control |
JP2015536043A (ja) * | 2012-09-26 | 2015-12-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板処理システムにおける温度制御 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US9538583B2 (en) | 2013-01-16 | 2017-01-03 | Applied Materials, Inc. | Substrate support with switchable multizone heater |
US20140251214A1 (en) | 2013-03-06 | 2014-09-11 | Applied Materials, Inc. | Heated substrate support with flatness control |
US20150083042A1 (en) | 2013-09-26 | 2015-03-26 | Applied Materials, Inc. | Rotatable substrate support having radio frequency applicator |
US20150089961A1 (en) | 2013-09-30 | 2015-04-02 | Yacov Duzly | Temperature-Controlled Storage Module |
US9334566B2 (en) | 2013-11-25 | 2016-05-10 | Lam Research Corporation | Multi-tray ballast vapor draw systems |
US10217615B2 (en) | 2013-12-16 | 2019-02-26 | Lam Research Corporation | Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof |
US10378805B2 (en) | 2014-03-07 | 2019-08-13 | Alliance For Sustainable Energy, Llc | Model predictive control for heat transfer to fluids |
JP6378942B2 (ja) | 2014-06-12 | 2018-08-22 | 東京エレクトロン株式会社 | 載置台及びプラズマ処理装置 |
JP6335341B2 (ja) | 2014-07-23 | 2018-05-30 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 可変型温度制御式基板支持アセンブリ |
CN107004626B (zh) | 2014-11-20 | 2019-02-05 | 住友大阪水泥股份有限公司 | 静电卡盘装置 |
TWI563542B (en) | 2014-11-21 | 2016-12-21 | Hermes Epitek Corp | Approach of controlling the wafer and the thin film surface temperature |
JP5962833B2 (ja) | 2015-01-16 | 2016-08-03 | Toto株式会社 | 静電チャック |
CN107636817B (zh) | 2015-05-22 | 2021-08-27 | 应用材料公司 | 方位可调整的多区域静电夹具 |
WO2017004050A1 (en) | 2015-06-29 | 2017-01-05 | Applied Materials, Inc. | Temperature controlled substrate processing |
US9673025B2 (en) | 2015-07-27 | 2017-06-06 | Lam Research Corporation | Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control |
CN107113921B (zh) | 2015-08-20 | 2020-09-11 | 日本碍子株式会社 | 静电卡盘加热器 |
JP6622052B2 (ja) | 2015-10-14 | 2019-12-18 | 日本特殊陶業株式会社 | セラミックヒータ及び静電チャック |
US10707110B2 (en) | 2015-11-23 | 2020-07-07 | Lam Research Corporation | Matched TCR joule heater designs for electrostatic chucks |
US10582570B2 (en) | 2016-01-22 | 2020-03-03 | Applied Materials, Inc. | Sensor system for multi-zone electrostatic chuck |
US10345802B2 (en) | 2016-02-17 | 2019-07-09 | Lam Research Corporation | Common terminal heater for ceramic pedestals used in semiconductor fabrication |
EP3472858B1 (en) | 2016-06-15 | 2022-01-12 | Watlow Electric Manufacturing Company | Power converter for a thermal system |
US10908195B2 (en) | 2016-06-15 | 2021-02-02 | Watlow Electric Manufacturing Company | System and method for controlling power to a heater |
WO2017218044A1 (en) | 2016-06-15 | 2017-12-21 | Applied Materials, Inc. | Gas distribution plate assembly for high power plasma etch processes |
JP6397588B2 (ja) | 2016-07-19 | 2018-09-26 | 日本碍子株式会社 | 静電チャックヒータ |
JP6808423B2 (ja) | 2016-09-28 | 2021-01-06 | 東京エレクトロン株式会社 | 基板処理装置および処理液供給方法 |
TWI751469B (zh) | 2016-10-21 | 2022-01-01 | 美商瓦特洛威電子製造公司 | 具有低漂移電阻反饋之電氣加熱器 |
KR101877667B1 (ko) | 2017-02-28 | 2018-07-11 | 세메스 주식회사 | 반도체 패키지 테스트 방법 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
WO2019078036A1 (ja) | 2017-10-18 | 2019-04-25 | 新日本テクノカーボン株式会社 | サセプター |
US11236422B2 (en) | 2017-11-17 | 2022-02-01 | Lam Research Corporation | Multi zone substrate support for ALD film property correction and tunability |
US10633742B2 (en) | 2018-05-07 | 2020-04-28 | Lam Research Foundation | Use of voltage and current measurements to control dual zone ceramic pedestals |
JP7094804B2 (ja) | 2018-07-03 | 2022-07-04 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US11183400B2 (en) | 2018-08-08 | 2021-11-23 | Lam Research Corporation | Progressive heating of components of substrate processing systems using TCR element-based heaters |
-
2019
- 2019-07-02 CN CN202410225223.1A patent/CN118360588A/zh active Pending
- 2019-07-02 CN CN201980045350.0A patent/CN112368415B/zh active Active
- 2019-07-02 JP JP2020573317A patent/JP7456951B2/ja active Active
- 2019-07-02 US US17/256,677 patent/US11908715B2/en active Active
- 2019-07-02 WO PCT/US2019/040416 patent/WO2020010153A1/en active Application Filing
- 2019-07-02 KR KR1020217003325A patent/KR20210019573A/ko not_active Application Discontinuation
- 2019-07-04 TW TW108123550A patent/TWI818044B/zh active
- 2019-07-04 TW TW112134127A patent/TW202401629A/zh unknown
-
2024
- 2024-02-16 US US18/443,906 patent/US20240194506A1/en active Pending
- 2024-03-14 JP JP2024039540A patent/JP2024069443A/ja active Pending
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5552998A (en) * | 1990-11-05 | 1996-09-03 | Watlow/Winona, Inc. | Method and apparatus for calibration and controlling multiple heaters |
US6270638B1 (en) * | 1997-05-23 | 2001-08-07 | Kabushiki Kaisha Riken | Pyro-sensor and pyro-control circuit |
JP2000339039A (ja) * | 1999-05-25 | 2000-12-08 | Tokyo Electron Ltd | 加熱手段の温度制御方法、その装置及び熱処理装置 |
JP2004044579A (ja) * | 2002-05-14 | 2004-02-12 | Ngk Spark Plug Co Ltd | グロープラグの制御装置およびグロープラグ |
CN101641150A (zh) * | 2007-03-23 | 2010-02-03 | 皇家飞利浦电子股份有限公司 | 具有降低的峰值功耗的集成微流体器件 |
CN103828031A (zh) * | 2011-08-17 | 2014-05-28 | 朗姆研究公司 | 用于监测复用加热器阵列的温度并控制该阵列的系统和方法 |
CN107393847A (zh) * | 2016-05-10 | 2017-11-24 | 朗姆研究公司 | 具有不同加热器迹线材料的层压加热器 |
US20170372928A1 (en) * | 2016-06-24 | 2017-12-28 | Tokyo Electron Limited | Substrate processing system and temperature control method |
Also Published As
Publication number | Publication date |
---|---|
TW202017077A (zh) | 2020-05-01 |
WO2020010153A1 (en) | 2020-01-09 |
US20240194506A1 (en) | 2024-06-13 |
CN112368415B (zh) | 2024-03-22 |
CN118360588A (zh) | 2024-07-19 |
TWI818044B (zh) | 2023-10-11 |
JP7456951B2 (ja) | 2024-03-27 |
KR20210019573A (ko) | 2021-02-22 |
JP2021530109A (ja) | 2021-11-04 |
TW202401629A (zh) | 2024-01-01 |
US20210272828A1 (en) | 2021-09-02 |
JP2024069443A (ja) | 2024-05-21 |
US11908715B2 (en) | 2024-02-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN112368415B (zh) | 衬底处理系统中的衬底支撑件的动态温度控制 | |
US12062554B2 (en) | Progressive heating of components of substrate processing systems using TCR element-based heaters | |
US10381248B2 (en) | Auto-correction of electrostatic chuck temperature non-uniformity | |
KR102533847B1 (ko) | TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법 | |
KR20200142590A (ko) | 듀얼 존 세라믹 페데스탈을 제어하기 위한 전압 및 전류 측정값들의 사용 | |
US20220243332A1 (en) | Temperature control of a multi-zone pedestal | |
US10725485B2 (en) | System and method for calculating substrate support temperature | |
JP2023519306A (ja) | レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング | |
TWI850247B (zh) | 利用基於電阻溫度係數(tcr)元件之加熱器漸進式加熱基板處理系統的構件 | |
TWI853900B (zh) | 藉由調變多區域基板支撐件之溫度暫態的臨界尺寸不均勻性快速調整 | |
TWI847982B (zh) | 基板處理系統及其控制器,以及用以控制基板處理系統中的基板支架之溫度的方法 | |
CN117242561A (zh) | 在基于高tcr控制中的信号滤波方案的使用 | |
CN113574634A (zh) | 调节多区域衬底支撑件的温度瞬时以快速调整关键尺寸不均匀性 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |