TW202017077A - 基板處理系統中之基板支撐件的動態溫度控制 - Google Patents

基板處理系統中之基板支撐件的動態溫度控制 Download PDF

Info

Publication number
TW202017077A
TW202017077A TW108123550A TW108123550A TW202017077A TW 202017077 A TW202017077 A TW 202017077A TW 108123550 A TW108123550 A TW 108123550A TW 108123550 A TW108123550 A TW 108123550A TW 202017077 A TW202017077 A TW 202017077A
Authority
TW
Taiwan
Prior art keywords
resistances
temperature
resistance heaters
regions
resistance
Prior art date
Application number
TW108123550A
Other languages
English (en)
Other versions
TWI818044B (zh
Inventor
賽藍姆 聖達蘭
亞倫 德彬
拉密許 謙德拉瑟哈蘭
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202017077A publication Critical patent/TW202017077A/zh
Application granted granted Critical
Publication of TWI818044B publication Critical patent/TWI818044B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Temperature (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用於基板處理系統之溫度控制基板支撐件,包括坐落於處理室中的基板支撐件。基板支撐件分別包括N個區域和N個電阻式加熱器,在此N係大於1之整數。溫度感測器坐落於所述N個區域之一中。控制器建構成回應於在所述N個區域之一中藉由溫度感測器所測得之溫度、N個電阻式加熱器的N個電阻、及N-1個電阻比,計算所述N個電阻式加熱器在操作期間的N個電阻,並於基板處理系統的操作期間調整對N個電阻式加熱器之N-1個的功率。

Description

基板處理系統中之基板支撐件的動態溫度控制
[相關申請案之交叉引用]本申請案主張於2018年7月5日提交的美國臨時申請案第62 / 694,171號之優先權。以上引用的申請案之全部揭示內容以引用的方式併入本文中。
本揭示內容有關基板處理系統,且更特別是有關包括基板支撐件之動態溫度控制的基板處理系統。
在此所提供之先前技術描述是為了大致上呈現本揭示內容的背景之目的。於此先前技術段落中所描述之範圍內,目前命名的發明人之作品、以及在申請時可能無法以其他方式視為現有技術的描述之態樣,均未明確地或隱含地承認為反對本揭示內容的現有技術。
基板處理系統可用於對基板(諸如半導體晶圓)施行蝕刻、沉積、及/或其他處理。可在基板上施行之示範製程包括、但不限於化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、原子層蝕刻(ALE)、電漿增強原子層沉積(PEALD)及/或其他蝕刻、沉積、和清潔製程。於處理期間,基板配置在基板處理系統的處理室中之基板支撐件、諸如台座、靜電卡盤(ESC)等上。將製程氣體混合物引入處理室以加工基板。於一些範例中,可撞擊電漿以增強處理室內的化學反應。
在基板處理期間,可藉由配置於基板支撐件基板支撐件中之電阻式加熱器來控制基板的溫度。在一些範例中,電阻式加熱器配置進入分開地控制之二或更多區域。為了在藉由電阻式加熱器所加熱的區域中保持熱均勻性,通常需要在每一區域中進行直接溫度測量、或個別校準間接溫度測量(例如,經由已知之加熱器電阻與溫度的關係)。
用於基板處理系統之溫度控制基板支撐件包括坐落於所述處理室中的基板支撐件。基板支撐件分別包括N個區域和N個電阻式加熱器,在此N係大於1之整數。溫度感測器坐落於所述N個區域之一中。控制器建構成回應於在所述N個區域之一中藉由溫度感測器所測得之溫度、N個電阻式加熱器的N個電阻、及N-1個電阻比,計算所述N個電阻式加熱器在操作期間的N個電阻,並於基板處理系統的操作期間調整對N個電阻式加熱器之N-1個的功率。
在其他特徵中,當基板支撐件處於均勻溫度時,藉由分別測量在N個區域中之N個電阻式加熱器的N個電阻、並將N個區域之N-1個的N個電阻之N-1個除以對應於N個區域之一的N個電阻之一來決定N-1個電阻比。
在其他特徵中,均勻溫度對應於環境溫度。N-1個區域不包括溫度感測器。控制器藉由監控分別供應給N個電阻式加熱器的N個電壓來計算N個電阻式加熱器於操作期間之N個電阻;且基於分別供應給N個電阻式加熱器的N個電壓來計算N個電阻。
在其他特徵中,控制器藉由監控分別供應給N個電阻式加熱器之N個電流來計算N個電阻式加熱器於操作期間的N個電阻;且基於分別供應給N個電阻式加熱器之N個電流來計算N個電阻。
在其他特徵中,控制器藉由監控分別供應給N個電阻式加熱器的N個電流與N個電壓來計算N個電阻式加熱器於操作期間之N個電阻;且基於分別供應給N個電阻式加熱器的N個電流和N個電壓來計算N個電阻。
在其他特徵中,控制器建構成基於藉由溫度感測器所測量之溫度來控制到N個區域之一的功率。
一種製造基板處理系統之基板支撐件的方法,包括在基板支撐件之N個區域中嵌入N個電阻式加熱器;將溫度感測器嵌入基板支撐件的N個區域之一中;測量基板支撐件的N個區域中之N個電阻式加熱器的N個電阻;基於N個電阻決定N-1個電阻比;將控制器連接至N個電阻式加熱器和溫度感測器;將所述控制器編程,以在所述基板處理系統之操作期間,回應於所述N個區域之一中的已測得溫度、N個電阻式加熱器之N個電阻、和N-1個電阻比,分別控制所述N個區域的N-1個中之N個電阻式加熱器的N-1個中之溫度。
在其他特徵中,當基板支撐件處於均勻溫度時,藉由分別決定N個電阻式加熱器的N個電阻;並將所述N個區域之N-1個的N個電阻之N-1個除以對應於所述N個區域之一的N個電阻之一來計算N-1個電阻比。
在其他特徵中,均勻溫度對應於周遭溫度。N個區域的N-1個不包括溫度感測器。測量N個電阻式加熱器之N個電阻的操作包括分別監控供應給N個電阻式加熱器的N個電壓;及分別基於供應給N個電阻式加熱器之N個電壓來計算N個電阻。
在其他特徵中,測量N個區域的N個電阻之操作包括分別監控供應給N個電阻式加熱器之N個電流;及分別基於供應給N個電阻式加熱器的N個電流來計算N個電阻。
在其他特徵中,於操作期間測量N個區域之N個電阻之操作包括分別監控供應給N個電阻式加熱器的N個電流和N個電壓;及分別基於供應給N個電阻式加熱器之N個電流和N個電壓來計算N個電阻。
一種用於控制基板處理系統的基板支撐件中之區域的溫度之方法,包括:在基板處理系統的操作期間,使用坐落於N個區域之一中的溫度感測器來測量基板支撐件的N個區域之一中的溫度,在此N係大於1之整數;在基板處理系統的操作期間,分別測量坐落於N個區域中之N個電阻式加熱器的N個電阻;及在基板處理系統之操作期間,分別對N個區域的N-1個中之N個電阻式加熱器的N-1個調整功率,以回應於所述N個區域之一中的已測得溫度、N個電阻式加熱器之N個電阻、和N-1個電阻比,控制所述N個區域的N-1個中之溫度。
在其他特徵中,藉由以下步驟來計算N-1個電阻比:當基板支撐件處於均勻溫度時,藉由分別決定N個電阻式加熱器的N個電阻;及將所述N個區域之N-1個的N個電阻之N-1個除以對應於所述N個區域之一的N個電阻之一。
在其他特徵中,均勻溫度對應於周遭溫度。N個區域的N-1個不包括溫度感測器。測量N個電阻式加熱器之N個電阻之操作包括監控分別供應給N個電阻式加熱器的N個電壓;及基於分別供應給N個電阻式加熱器之N個電壓來計算N個電阻。
在其他特徵中,測量N個區域的N個電阻之操作包括監控分別供應給N個電阻式加熱器之N個電流;及基於分別供應給N個電阻式加熱器的N個電流來計算N個電阻。
在其他特徵中,於操作期間測量N個區域之N個電阻之操作包括監控分別供應給N個電阻式加熱器的N個電流和N個電壓;及基於分別供應給N個電阻式加熱器之N個電流和N個電壓來計算N個電阻。
在其他特徵中,所述方法包括基於藉由溫度感測器所測量的溫度來控制到N個區域之一的功率。
本揭示內容之適用性的進一步領域將由詳細敘述、申請專利範圍和附圖而變得顯而易見。詳細敘述及特定範例僅意欲用於說明之目的,且並不意欲限制所述揭示內容之範圍。
雖然本揭示內容包括與基板處理系統之基板支撐件中的多數區域之溫度控制有關的特定範例,但是本文闡述之系統和方法可應用於利用分區電阻加熱之其他類型的部件中之溫度控制。
在一些應用中,於基板處理期間期望整個基板的溫度均勻性。在這些應用中,可將功率獨立地供應給每一電阻式加熱器。然而,多區域加熱器系統通常只有單一個熱電偶,所述熱電偶坐落於此等區域其中之一內以測量基板支撐溫度。功率係以供應至具有熱電偶的該區域之功率的預定比例(或功率比)(或占空比之預定比例)加以供應至其餘區域,以在所有區域中保持均勻的溫度。功率或占空比典型針對特定製程條件而決定的,且大致上保持不變。其餘區域中之實際溫度係未知的,但預計會在具有熱電偶之區域的預定範圍內。
然而,於一些應用中,在某些製程條件期間可發生明顯之熱負荷變化,這可造成一或更多區域中的溫度相對帶有熱電偶之區域發生變化。溫度變動導致不期望的製程控制。當溫度變動足夠高時,基板支撐件會發生故障。
一些加熱系統依賴於加熱器線圈電阻率與溫度(或電阻溫度係數(TCR))之關係。這些系統大致上獨立地控制個別區域的溫度,且通常在每一區域中都需要溫度感測器或熱電偶。替代地,可決定用於每一加熱器元件之溫度對電阻及其與區域溫度之關係的單獨校準/特徵化。
本揭示內容提供一種在提供諸區域中的溫度均勻性之同時規避上述要求的方式。如上所述,本揭示內容橫越所有區域保持熱均勻性,而不需要於每一區域中之熱電偶或單獨的校準/特徵化。
TCR係與電阻式加熱器之材料微觀結構有關的材料特性。在相同之處理條件下所生產的由相同材料製成的部件係預期具有相同或非常接近之TCR值。換句話說,坐落於多區域基板支撐件的不同區域中並一起受處理之電阻式加熱器中所使用的加熱器線圈元件係預計具有相同或非常接近之TCR值。儘管在任何給定溫度下的加熱器元件之電阻可能為不同,但是加熱器元件的電阻隨溫度類似地增減。換句話說,當電阻式加熱器與帶熱電偶之電阻式加熱器處於相同溫度時,沒有熱電偶的區域中之電阻式加熱器的電阻(例如,RZ1 、RZ2 、... RZN-1 )相對帶熱電偶之區域中的電阻式加熱器之電阻(RZN )的每一電阻比(RZ1 / RZN 、RZ2 / RZN 、...和RZN-1 / RZN )將保持相同。因此,如果在一溫度(諸如周遭溫度)下決定各區域之電阻,則可於其他溫度(例如,從周遭溫度到700ºC的範圍中之製程溫度)下使用各區域用的對應電阻比,以決定沒有熱電偶的其他區域之期望的電阻。所期望之電阻和所測得的電阻用於控制功率並在其他區域中提供均勻之溫度。
更具體地,根據本揭示內容的動態溫度控制器決定沒有熱電偶的區域中之電阻式加熱器的電阻(RZ1 、RZ2 、... RZN-1 )相對帶熱電偶之區域中的電阻式加熱器之電阻(RZN )在預定溫度下的N-1個電阻比(RZ1 / RZN 、RZ2 / RZN 、...和RZN-1 / RZN )。例如,可在室溫下決定電阻比並將其應用至其他製程溫度。然後,使用N-1個電阻比(RZ1 / RZN 、RZ2 / RZN 、...和RZN-1 / RZN )和具有熱電偶之區域ZN中的溫度來決定沒有熱電偶之區域中所期望的電阻(RZ1 、RZ2 、... RZN-1 )。
例如,如果用於第一區域Z1之電阻比等於RZ1 / RZN = 1.102,在區域ZN中的期望溫度於給定溫度之測得電阻係10Ω,則用在區域的所期望電阻RZ1 = 1.102 *10Ω=11.02Ω。例如,如果用於第二區域Z2之電阻比等於RZ2 / RZN = 1.08,在給定溫度下,於具有熱電偶的區域ZN中之期望溫度所測得的電阻為10Ω,則第二區域中之期望電阻RZ1 = 1.08 *10Ω=10.8Ω。
根據本揭示內容的系統和方法藉由維持沒有熱電偶之區域相對具有熱電偶的區域中之電阻式加熱器的電阻比來控制多數區域中之溫度。換句話說,基於來自熱電偶的溫度反饋,將具有熱電偶之區域的溫度控制到期望溫度。帶熱電偶之區域的電阻是在所期望之溫度測量。電阻比用於決定其餘區域中的所期望電阻,以在對應區域中達成所期望之溫度。於對應區域中增加或減少加熱器功率,以使對應區域中的測量電阻分別與所期望之電阻匹配。
藉由使用基板支撐件的一區域中之溫度的單一測量,可將基板支撐件之所有其餘區域動態地控制為均勻溫度。其結果是,無需於其餘區域中使用熱電偶或在用於每一區域之預先電阻對溫度的預先校準,達成基板支撐溫度的均勻性。
現在參考圖1,顯示範例性基板處理系統120。儘管出於說明之目的顯示用於使用電容耦合電漿(CCP)之化學氣相沉積(CVD)或原子層沉積(ALD)的處理室,但是可使用任何其他類型之基板處理系統。
基板處理系統120包括處理室122,其包圍基板處理系統120的其他部件且容納RF電漿(如果使用的話)。基板處理系統120包括上電極124和基板支撐件126,諸如靜電卡盤(ESC)、台座等。在操作期間,基板128配置於基板支撐件126上。
僅作為範例,上電極124可包括引入和分配製程氣體之氣體分配裝置129,諸如噴淋頭。氣體分配裝置129可包括桿部,所述桿部包含一端連接至處理室之頂部表面。基底部份大致上為圓柱形,且在與處理室的頂部表面隔開之位置處從桿部的相反端部徑向往外地延伸。噴淋頭之基底部份的面向基板表面或面板包括複數孔洞,前驅物、反應物、蝕刻氣體、惰性氣體、載送氣體、其他製程氣體、或吹掃氣體流經這些孔洞。替代地,上電極124可包括傳導板,且以另一方式引入製程氣體。
基板支撐件126包括用作下電極之底板130。底板130支撐加熱板132,其可對應於陶瓷多區域加熱板。可於加熱板132和底板130之間配置熱阻層134。底板130可包括一或更多通道136,用於使冷卻劑流經底板130。
如果使用電漿,則RF產生系統140產生RF電壓並將RF電壓輸出至上電極124和下電極(例如,基板支撐件126的底板130)其中之一。上電極124和底板130之另一個可為DC接地、AC接地或浮接的。僅作為示例,RF產生系統140可包括RF產生器142,所述RF產生器142產生藉由匹配和分配網絡144饋送至上電極124或底板130之RF功率。於其他範例中,電漿可感應地或遠距地產生。
氣體輸送系統150包括一或更多氣體來源152-1、152-2、…、和152-N(統稱為氣體來源152),在此N是大於零的整數。氣體來源152藉由閥門154-1、154-2、…、和154-N(統稱為閥門154)和MFC 156-1、156-2、…、和156-N(統稱為MFC 156)連接至歧管160。雖然顯示單一氣體輸送系統150,但可使用二或更多氣體輸送系統。
動態溫度控制器163連接到配置於加熱板132中的複數電阻式加熱器164。動態溫度控制器163亦連接到配置在加熱板132中的複數加熱區域之一中的熱電偶165。其餘之加熱區域不包括熱電偶。動態溫度控制器163可用於控制複數電阻式加熱器164,以調整和控制基板支撐件126和基板128的溫度。
在一些範例中,動態溫度控制器163及/或另一控制器亦可與冷卻劑組件166通訊,以控制流經通道136的冷卻劑流量。例如,冷卻劑組件166可包括冷卻劑泵浦、儲液器及/或一或更多熱電偶。於一些範例中,動態溫度控制器163操作冷卻劑組件166,以使冷卻劑選擇性地流經通道136以冷卻基板支撐件126。
閥門170和泵浦172可用於從處理室122排空反應物。系統控制器180可用於控制基板處理系統120之部件。
現在參考圖2,顯示根據本揭示內容的動態溫度控制系統200。動態溫度控制系統200包括電源220,電源220包括驅動器電路224-1、224-2、...、和224-N(統稱為驅動器電路224),在此N係大於1之整數。驅動器電路224控制到電阻式加熱器的功率,如將在下面進一步敘述。
藉由驅動器電路224-1、224-2、...、和224-N輸出到每一區域之電流係藉由電流感測器228-1、228-2、...、和228-N(統稱為電流感測器228)所監控。藉由驅動器電路224-1、224-2、...、和224-N輸出到每一區域的電壓係藉由電壓感測器230-1、230-2、...、和230-N(統稱為電壓感測器230)所監控。
部件231包括加熱區域232-1、232-2、...、和232-N(統稱為區域232)。於下面之敘述中,部件231包括基板支撐件。然而,可使用具有帶有電阻式加熱器的多數區域之任何加熱部件。區域232-1、232-2、...、和232-N的每一者包括嵌入在其中之電阻式加熱器236-1、236-2、...、和236-N(統稱為電阻式加熱器236)。於一些範例中,電阻式加熱器236包括具有對應電阻的加熱器元件或線圈。區域232-1、232-2、...、和232-N之一包括用於感測其溫度之熱電偶240。區域232-1、232-2、...、和232-N的其餘區域不包括熱電偶。
控制器250與電流感測器228及/或電壓感測器230、熱電偶240、和驅動器電路224通訊。控制器250包括加熱器控制模組254。基於來自電流感測器228及/或電壓感測器230之相應的電流及/或電壓測量值,加熱器控制模組254決定每一電阻式加熱器236之電阻。在一些範例中,當藉由電源220將電流保持足夠恆定並且變動電壓以控制RF功率時,可省略電流感測器228。於其他範例中,當藉由電源220將電壓保持足夠恆定並且變動電流以控制RF功率時,可省略電壓感測器230。
加熱器控制模組254利用熱電偶240監控各區域232之一(例如,區域232-1)的溫度,並基於來自熱電偶240之溫度反饋來控制至所述區域的功率。加熱器控制模組254測量所述區域之電阻,並使用電阻比來決定其餘區域232的期望電阻。加熱器控制模組254控制其餘區域232中之驅動器電路224,以增加或減小功率來達成期望的電阻,這在所有其餘區域232中產生均勻之溫度。
現在參考圖3A至3C,顯示基板支撐件的區域之諸多範例。雖然顯示了特定範例,但可使用其他區域佈局。於圖3A中,基板支撐件310包括同心區域,其包括區域1、區域2和區域3。每一區域包括電阻式加熱器。在圖3B中,基板支撐件350包括限定內部區域360和外部區域362的電阻式加熱器線圈。於圖3C中,內部區域1由圓周外部區域2、3、4和5所圍繞。每一區域包括電阻式加熱器。如可被了解,可使用其他區域佈局。
現在參考圖4A和4B,顯示說明用於二區域加熱器之電阻隨溫度變化的曲線圖。在圖4A中,於使用動態溫度控制器基於台座上之TC儀測晶圓驅動至均勻的內和外台座溫度的台座加熱期間,記錄內和外加熱器電阻。在圖4B中,內電阻和外電阻兩者於0℃按比例縮放為1之電阻。如可理解,斜率實際上係完全相同的。內/外電阻比顯示在整個溫度範圍內小於0.05%之變動。這些測試支持以下想法:內和外TCR值彼此非常接近,且電阻比無需校準即可用於均勻加熱台座。
現在參考圖5,分別顯示用於控制部件的各區域之溫度的方法400。方法400用於,使用與僅該等區域其中一者相關聯之熱電偶,將部件的多數區域之溫度控制到均勻溫度。方法400包括在410於單個溫度下決定部件的每一區域中之電阻式加熱器的電阻。在一些範例中,單一溫度是環境溫度,雖然可使用其他溫度。
於414處,所述方法決定其餘區域(沒有熱電偶)和具有熱電偶的區域之間的電阻比。在418處,於操作期間感測具有熱電偶之區域的溫度,基於所測量之溫度來控制功率,且測量具有熱電偶的區域之電阻。在422處,於操作期間測量其餘區域中的電阻式加熱器之電阻。在426處,使用對應的電阻比來計算用於其餘區域之期望電阻。在430處,基於所測量之電阻與期望的電阻之間的差來控制輸出到其餘區域之功率。
現在參考圖5-6,分別用於常規溫度控制系統和根據本揭示內容的動態溫度控制系統,顯示作為時間的函數之溫度。在圖5中,使用常規的溫度控制系統,針對圖3B中之基板支撐件350,顯示作為時間的函數之溫度。如可看出,內部區域和外部區域之間的溫差大於20℃,其傾向於造成製程不均勻、缺陷及/或對基板支撐件之損壞。在圖6中,使用本文所敘述的溫度控制系統達成更嚴格的溫度控制,這減少製程不均勻性和缺陷,並消除對基板支撐件之損壞。
一種製造基板處理系統的基板支撐件之方法,包括將N個電阻式加熱器嵌入於基板支撐件的N個區域中。溫度感測器嵌入在基板支撐件的N個區域之一中。測量基板支撐件的N個區域中之N個電阻式加熱器的N個電阻。基於N個電阻來決定N-1個電阻比。控制器連接到N個電阻式加熱器和溫度感測器。將所述控制器編程,以回應於所述N個區域之一中的測量溫度、N個電阻式加熱器之N個電阻、和N-1個電阻比,在所述基板處理系統的操作期間,分別控制所述N個區域之N-1個中的N個電阻式加熱器之N-1個中的溫度。
以上敘述本質上僅是說明性的,且絕不意欲限制本揭示內容、其應用、或用途。本揭示內容之廣泛教導能以諸多形式實現。因此,儘管本揭示內容包括特定範例,但是本揭示內容的真實範圍不應受到如此限制,因為在研究附圖、說明書、和以下申請專利範圍時,其他修改將變得顯而易見。應當理解,在不變更本揭示內容之原理的情況下,能以不同順序(或同時)執行方法內之一或更多步驟。再者,儘管以上將實施例的每一者敘述為具有某些特徵,但是相對於本揭示內容之任何實施例所敘述的那些特徵之任何一或更多個可在任何其他實施例的特徵中實現及/或與之組合,即使所述組合未明確地敘述。換句話說,所敘述的實施例不是互相排斥的,且一或更多實施例彼此之置換仍在本揭示內容的範圍內。
使用諸多術語來敘述元件之間(例如,模組、電路元件、半導體層等之間)的空間和功能關係,包括「連接」、「嚙合」、「耦合」、「相鄰」、「緊鄰著」、「頂部上」、「上方」、「下方」、和「設置」。除非明確地描述為「直接」,當在以上揭示內容中敘述第一和第二元件之間的關係時,所述關係可為其中第一和第二元件之間不存在其他中間元件的直接關係,但是也也可為間接關係,於此第一和第二元件之間存在一或更多中間元件(於空間上或功能上)。如本文所使用的,片語A、B和C之至少一者應為使用非排他性邏輯或(OR)而解釋為意指邏輯(A或B或C),且不應解釋為意指「A的至少一個、B之至少一個、和C的至少一個」。
在一些實施例中,控制器係系統之一部分,其可為上述範例的一部分。此系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多用於處理之平台、及/或特定的處理部件(晶圓基板支撐件、氣流系統等)。這些系統可與電子器件整合,用於控制它們在半導體晶圓或基板的處理之前、期間和之後的操作。電子器件可被稱為「控制器」,其可控制一或更多系統之諸多部件或子零件。取決於處理要求及/或系統的類型,控制器可被編程,以控制本文所揭示之任何製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓傳送工具和其他傳送工具、及/或連接到特定系統或與特定系統介接之負載鎖定室。
廣義上講,控制器可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子器件,所述軟體接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包括呈儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特定應用積體電路(ASIC)之晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特別製程之操作參數。於一些實施例中,操作參數可為製程工程師所定義的配方之一部分,以在晶圓的一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或裸晶之製造期間完成一或更多處理步驟。
於一些實施例中,控制器可為電腦的一部分或耦接至電腦,所述電腦與系統整合、耦接至系統、以別的方式聯網至所述系統或其組合。例如,控制器可位於“雲端”中或為工廠主機電腦系統之全部或一部份,其可允許晶圓處理的遠端存取。電腦可啟用對系統之遠端存取,以監控製造操作的當前進度、檢查過去製造操作之歷史、檢查來自複數個製造操作的趨勢或性能度量、改變當前處理之參數、將處理步驟設定成遵循當前處理、或啟動新的製程。在一些範例中,遠端電腦(例如伺服器)能透過網路向系統提供製程配方,所述網路可包括區域網路或網際網路。遠端電腦可包括實現參數及/或設定之輸入或編程的使用者介面,所述參數及/或設定接著從遠端電腦被傳遞至所述系統。於一些範例中,控制器接收呈資料形式的指令,其指定在一或更多操作期間待施行之每一處理步驟的參數。應該理解的是,所述參數可專用於待施行之製程的類型,且控制器配置成與之介接或對其加以控制的工具之類型。如此,如上面所述,控制器可為分佈式,諸如藉由包含一或更多以網路連結在一起且朝著共同目的(諸如在此敘述之製程和控制)工作的離散控制器。用於此等目的之分佈式控制器的範例將為腔室上之一或更多積體電路,其與遠端定位的一或更多積體電路(諸如在平台等級或作為遠端電腦之一部分)通訊,其組合以在腔室上控制製程。
非限制性地,示範系統可包括電漿蝕刻室或模組、沈積室或模組、自旋洗滌室或模組、金屬電鍍室或模組、清潔室或模組、斜邊蝕刻室或模組、物理氣相沈積(PVD)室或模組、化學氣相沈積(CVD)室或模組、原子層沈積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及可關聯於或用於半導體晶圓之製造及/或製作的任何其他半導體處理系統。
如上面所提及,取決於待由工具施行之一或更多製程步驟,控制器可與其他工具電路或模組、其他工具部件、集束型工具、其他工具介面、相鄰工具、附近工具、遍布工廠的工具、主電腦、另一控制器、或用於材料運送將晶圓容器攜帶進出半導體製造工廠中的工具位置及/或裝載埠之工具的一或更多者通訊。
120:基板處理系統 122:處理室 124:上電極 126:基板支撐件 128:基板 129:氣體分配裝置 130:底板 132:加熱板 13:熱阻層 136:通道 140:RF產生系統 142:RF產生器 144:匹配和分配網絡 150:氣體輸送系統 152:氣體來源 152-1:氣體來源 152-2:氣體來源 152-N:氣體來源 154:閥門 154-1:閥門 154-2:閥門 154-N:閥門 156:MFC 156-1:MFC 156-2:MFC 156-N:MFC 160:歧管 163:動態溫度控制器 164:電阻式加熱器 165:熱電偶 166:冷卻劑組件 170:閥門 172:泵浦 180:系統控制器 200:動態溫度控制系統 220:電源 224:驅動器電路 224-1:驅動器電路 224-2:驅動器電路 224-N:驅動器電路 228:電流感測器 228-1:電流感測器 228-2:電流感測器 228-N:電流感測器 230:電壓感測器 230-1:感測器 230-2:電壓感測器 230-N:電壓感測器 231:部件 232:區域 232-1:區域 232-2:區域 232-N:區域 236:電阻式加熱器 236-1:電阻式加熱器 236-2:電阻式加熱器 236-N:電阻式加熱器 240:熱電偶 250:控制器 254:加熱器控制模組 310:基板支撐件 350:基板支撐件 360:內部區域 362:外部區域
本揭示內容將由詳細敘述及所附圖面變得更充分地了解,其中:
圖1係基板處理系統的範例之功能方塊圖,所述基板處理系統包括用於根據本揭示內容的分區、溫度控制部件(諸如基板支撐件)之動態溫度控制器;
圖2係用於具有根據本揭示內容的電阻式加熱器區域之部件的動態溫度控制系統之範例的功能方塊圖;
圖3A至圖3C說明根據本揭示內容之包括多數加熱器區域的台座之範例;
圖4A和圖4B係曲線圖,說明用於根據本揭示內容的二區域加熱器之電阻和電阻比作為溫度的函數;
圖5係流程圖,說明用於施行根據本揭示內容之動態溫度控制的方法之範例;
圖6說明用於根據先前技術的溫度控制器之台座溫度作為時間的函數之控制;和
圖7說明用於根據本揭示內容的動態溫度控制器之台座溫度作為時間的函數之控制。
在附圖中,參考數字可被重複使用以標識類似及/或完全相同的元件。

Claims (23)

  1. 一種溫度控制基板支撐件,用於基板處理系統,該溫度控制基板支撐件包含: 一基板支撐件,分別包括N個區域和N個電阻式加熱器,在此N係大於1的整數,且一溫度感測器坐落於該N個區域其中之一中;及 一控制器,建構成: 計算在操作期間該N個電阻式加熱器的N個電阻;及 回應於以下條件,於該基板處理系統之操作期間調整針對該N個電阻式加熱器其中N-1個的功率: 藉由該溫度感測器在該N個區域的該其中之一中所測得的溫度; 該N個電阻式加熱器之該N個電阻;及 N-1個電阻比。
  2. 如申請專利範圍第1項之溫度控制基板支撐件,其中當該基板支撐件處於均勻溫度時,藉由分別測量在該N個區域中之該N個電阻式加熱器的該N個電阻、並將該N個區域其中N-1個的該N個電阻其中N-1個除以對應於該N個區域該其中之一的該N個電阻其中之一來決定該N-1個電阻比。
  3. 如申請專利範圍第2項之溫度控制基板支撐件,其中該均勻溫度對應於周遭溫度。
  4. 如申請專利範圍第1項之溫度控制基板支撐件,其中該N-1個區域不包含溫度感測器。
  5. 如申請專利範圍第1項之溫度控制基板支撐件,其中該控制器通過以下步驟來計算在操作期間該N個電阻式加熱器的該N個電阻: 監控分別供應給該N個電阻式加熱器之N個電壓;及 基於分別供應給該N個電阻式加熱器的該N個電壓來計算該N個電阻。
  6. 如申請專利範圍第1項之溫度控制基板支撐件,其中該控制器通過以下步驟來計算在操作期間該N個電阻式加熱器的該N個電阻: 監控分別供應給該N個電阻式加熱器之N個電流;及 基於分別供應給該N個電阻式加熱器的該N個電流來計算該N個電阻。
  7. 如申請專利範圍第1項之溫度控制基板支撐件,其中該控制器通過以下步驟來計算在操作期間該N個電阻式加熱器的該N個電阻: 監控分別供應給該N個電阻式加熱器之N個電流和N個電壓;及 基於分別供應給該N個電阻式加熱器的該N個電流和該N個電壓來計算該N個電阻。
  8. 如申請專利範圍第1項之溫度控制基板支撐件,其中該控制器建構成基於藉由該溫度感測器所測量的溫度來控制到該N個區域該其中之一的功率。
  9. 一種製造用於基板處理系統之溫度控制基板支撐件的方法,包含: 在一基板支撐件之N個區域中嵌入N個電阻式加熱器; 將一溫度感測器嵌入該基板支撐件的該N個區域其中之一中; 測量該基板支撐件的該N個區域中之該N個電阻式加熱器的N個電阻; 基於該N個電阻決定N-1個電阻比; 將一控制器連接至該N個電阻式加熱器和該溫度感測器;及 將該控制器編程,以在該基板處理系統之操作期間,回應於以下條件,分別控制該N個區域其中N-1個中之該N個電阻式加熱器的其中N-1個中之溫度: 該N個區域該其中之一中的一測得溫度; 該N個電阻式加熱器之該N個電阻;和 該N-1個電阻比。
  10. 如申請專利範圍第9項之方法,其中該N-1個電阻比藉由以下步驟所計算: 當該基板支撐件處於均勻溫度時,分別決定該N個電阻式加熱器的該N個電阻;及 將該N個區域之該其中N-1個的該N個電阻之其中N-1個除以對應於該N個區域該其中之一的該N個電阻其中之一。
  11. 如申請專利範圍第9項之方法,其中該均勻溫度對應於周遭溫度。
  12. 如申請專利範圍第9項之方法,其中該N個區域的該其中N-1個不包含溫度感測器。
  13. 如申請專利範圍第9項之方法,其中測量該N個電阻式加熱器的該N個電阻之步驟包括: 監控分別供應給該N個電阻式加熱器之N個電壓;及 基於分別供應給該N個電阻式加熱器的該N個電壓來計算該N個電阻。
  14. 如申請專利範圍第9項之方法,其中測量該N個區域的該N個電阻之步驟包括: 監控分別供應給該N個電阻式加熱器之N個電流;及 基於分別供應給該N個電阻式加熱器的該N個電流來計算該N個電阻。
  15. 如申請專利範圍第9項之方法,其中於操作期間測量該N個區域的該N個電阻之步驟包括: 監控分別供應給N個電阻式加熱器之N個電流和N個電壓;及 基於分別供應給該N個電阻式加熱器的N個電流和N個電壓來計算該N個電阻。
  16. 一種用於控制基板處理系統的基板支撐件中之多個區域的溫度之方法,包含: 在該基板處理系統的操作期間,使用坐落於一基板支撐件的N個區域其中之一中的一溫度感測器來測量該N個區域該其中之一中的溫度,在此N係大於1之整數; 在該基板處理系統的操作期間,分別測量坐落於該N個區域中之N個電阻式加熱器的N個電阻;及 在該基板處理系統之操作期間,分別對該N個區域其中N-1個中之該N個電阻式加熱器其中N-1個調整功率,以回應於以下條件而控制該N個區域的該其中N-1個中之溫度: 該N個區域該其中之一中的測得溫度; 該N個電阻式加熱器之該N個電阻;和 N-1個電阻比。
  17. 如申請專利範圍第16項之方法,其中通過以下步驟計算該N-1個電阻比: 當該基板支撐件處於均勻溫度時,分別決定該N個電阻式加熱器的該N個電阻; 和 將該N個區域之其中N-1個的該N個電阻之其中N-1個除以對應於該N個區域該其中之一的該N個電阻其中之一。
  18. 如申請專利範圍第17項之方法,其中該均勻溫度對應於周遭溫度。
  19. 如申請專利範圍第16項之方法,其中該N個區域的該其中N-1個不包含溫度感測器。
  20. 如申請專利範圍第16項之方法,其中測量該N個電阻式加熱器的該N個電阻之步驟包括: 監控分別供應給該N個電阻式加熱器之N個電壓;及 基於分別供應給該N個電阻式加熱器的該N個電壓來計算該N個電阻。
  21. 如申請專利範圍第16項之方法,其中測量該N個區域的該N個電阻包括: 監控分別供應給該N個電阻式加熱器之N個電流;及 基於分別供應給該N個電阻式加熱器的該N個電流來計算該N個電阻。
  22. 如申請專利範圍第16項之方法,其中於操作期間測量該N個區域的該N個電阻包括: 監控分別供應給N個電阻式加熱器之N個電流和N個電壓;及 基於分別供應給該N個電阻式加熱器的該N個電流和該N個電壓來計算該N個電阻。
  23. 如申請專利範圍第16項之方法,更包含基於藉由該溫度感測器所測量的溫度來控制到該N個區域該其中之一的功率。
TW108123550A 2018-07-05 2019-07-04 基板處理系統中之基板支撐件的動態溫度控制 TWI818044B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862694171P 2018-07-05 2018-07-05
US62/694,171 2018-07-05

Publications (2)

Publication Number Publication Date
TW202017077A true TW202017077A (zh) 2020-05-01
TWI818044B TWI818044B (zh) 2023-10-11

Family

ID=69059304

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112134127A TW202401629A (zh) 2018-07-05 2019-07-04 基板處理系統中之基板支撐件的動態溫度控制
TW108123550A TWI818044B (zh) 2018-07-05 2019-07-04 基板處理系統中之基板支撐件的動態溫度控制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112134127A TW202401629A (zh) 2018-07-05 2019-07-04 基板處理系統中之基板支撐件的動態溫度控制

Country Status (6)

Country Link
US (2) US11908715B2 (zh)
JP (2) JP7456951B2 (zh)
KR (1) KR20210019573A (zh)
CN (1) CN112368415B (zh)
TW (2) TW202401629A (zh)
WO (1) WO2020010153A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
CN113699509B (zh) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 一种半导体生长设备及其工作方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780120A (en) 1987-07-06 1988-10-25 Owens-Corning Fiberglas Corporation Bushing balance controller and method of using same
EP0598410B1 (en) 1989-02-14 2001-05-23 Seiko Epson Corporation A method of manufacturing a semiconductor device
US5126533A (en) 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5071459A (en) 1990-05-30 1991-12-10 Ppg Industries, Inc. Bushing balance controller for multiple segment bushings
US5552998A (en) 1990-11-05 1996-09-03 Watlow/Winona, Inc. Method and apparatus for calibration and controlling multiple heaters
US5280422A (en) 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
JPH07153550A (ja) 1993-12-02 1995-06-16 Chubu Electric Power Co Inc 電気ヒ−タの温度制御方法
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH09270384A (ja) 1996-03-29 1997-10-14 Nikon Corp 温度制御装置及び露光装置
JP3986598B2 (ja) 1996-10-08 2007-10-03 キヤノンアネルバ株式会社 基板温度制御機構
US6270638B1 (en) * 1997-05-23 2001-08-07 Kabushiki Kaisha Riken Pyro-sensor and pyro-control circuit
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP2000235886A (ja) * 1998-12-14 2000-08-29 Tokyo Electron Ltd 加熱手段の温度制御装置および温度制御方法
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
JP2000339039A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP4209057B2 (ja) 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
DE10032465A1 (de) 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
JP2002319525A (ja) 2001-04-20 2002-10-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータ
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6897411B2 (en) 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
JP4047762B2 (ja) 2002-05-14 2008-02-13 日本特殊陶業株式会社 グロープラグの制御装置
US6783630B2 (en) 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US6977575B2 (en) 2003-05-22 2005-12-20 Rtd Company Flexible averaging resistance temperature detector
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
US20050194374A1 (en) 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
CN101061752B (zh) 2004-09-30 2011-03-16 沃特洛电气制造公司 模块化的层状加热系统
US20070006936A1 (en) 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
TWI358460B (en) 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP5183058B2 (ja) 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR20080070360A (ko) 2007-01-26 2008-07-30 삼성전자주식회사 화학기상 증착설비
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
EP2129458A2 (en) 2007-03-23 2009-12-09 Koninklijke Philips Electronics N.V. Integrated microfluidic device with reduced peak power consumption
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
WO2009086013A2 (en) 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2009135137A2 (en) 2008-05-02 2009-11-05 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
TWI385727B (zh) 2009-01-16 2013-02-11 Marketech Int Corp Applied to the photovoltaic industry, the semiconductor industry, the vacuum environment with high temperature temperature control zone temperature control heater
WO2010090948A1 (en) 2009-02-04 2010-08-12 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5567318B2 (ja) * 2009-11-20 2014-08-06 株式会社国際電気セミコンダクターサービス 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8840301B2 (en) 2010-06-08 2014-09-23 Analysis & Measurement Services Corporation Diverse and redundant resistance temperature detector
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120118225A1 (en) * 2010-09-16 2012-05-17 Applied Materials, Inc. Epitaxial growth temperature control in led manufacture
KR101893471B1 (ko) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8552346B2 (en) 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US10242890B2 (en) 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
KR102006508B1 (ko) 2011-08-17 2019-08-01 램 리써치 코포레이션 멀티플렉싱된 가열기 어레이의 온도를 모니터링하고 이를 제어하는 시스템 및 방법
CN103999545B (zh) 2011-08-30 2018-02-06 沃特洛电气制造公司 制造高清晰度加热器系统的方法
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
WO2014052301A1 (en) * 2012-09-26 2014-04-03 Applied Materials, Inc. Controlling temperature in substrate processing systems
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20140251214A1 (en) 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20150083042A1 (en) 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US20150089961A1 (en) 2013-09-30 2015-04-02 Yacov Duzly Temperature-Controlled Storage Module
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US10378805B2 (en) 2014-03-07 2019-08-13 Alliance For Sustainable Energy, Llc Model predictive control for heat transfer to fluids
JP6378942B2 (ja) 2014-06-12 2018-08-22 東京エレクトロン株式会社 載置台及びプラズマ処理装置
KR102302723B1 (ko) 2014-07-23 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 튜닝가능한 온도 제어되는 기판 지지 어셈블리
WO2016080502A1 (ja) 2014-11-20 2016-05-26 住友大阪セメント株式会社 静電チャック装置
TWI563542B (en) 2014-11-21 2016-12-21 Hermes Epitek Corp Approach of controlling the wafer and the thin film surface temperature
JP5962833B2 (ja) 2015-01-16 2016-08-03 Toto株式会社 静電チャック
KR102321919B1 (ko) 2015-05-22 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
WO2017004050A1 (en) 2015-06-29 2017-01-05 Applied Materials, Inc. Temperature controlled substrate processing
US9673025B2 (en) 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
KR101776562B1 (ko) 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
JP6622052B2 (ja) 2015-10-14 2019-12-18 日本特殊陶業株式会社 セラミックヒータ及び静電チャック
US10707110B2 (en) 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
KR102360248B1 (ko) 2016-05-10 2022-02-07 램 리써치 코포레이션 상이한 히터 트레이스 재료를 사용한 적층된 히터
JP6710783B2 (ja) 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
KR20230107913A (ko) 2016-06-15 2023-07-18 와틀로 일렉트릭 매뉴팩츄어링 컴파니 열시스템용 전력 변환기
US10908195B2 (en) 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
JP6688172B2 (ja) * 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
WO2018016384A1 (ja) * 2016-07-19 2018-01-25 日本碍子株式会社 静電チャックヒータ
JP6808423B2 (ja) 2016-09-28 2021-01-06 東京エレクトロン株式会社 基板処理装置および処理液供給方法
TWI685275B (zh) 2016-10-21 2020-02-11 美商瓦特洛威電子製造公司 具有低漂移電阻反饋之電氣加熱器
KR101877667B1 (ko) 2017-02-28 2018-07-11 세메스 주식회사 반도체 패키지 테스트 방법
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20200385864A1 (en) 2017-10-18 2020-12-10 Nippon Techno-Carbon Co., Ltd. Susceptor
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
JP7094804B2 (ja) * 2018-07-03 2022-07-04 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
TWI824241B (zh) * 2020-05-04 2023-12-01 美商應用材料股份有限公司 工件托座及包括其的蝕刻系統
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround

Also Published As

Publication number Publication date
KR20210019573A (ko) 2021-02-22
CN112368415A (zh) 2021-02-12
JP2024069443A (ja) 2024-05-21
CN112368415B (zh) 2024-03-22
TW202401629A (zh) 2024-01-01
WO2020010153A1 (en) 2020-01-09
TWI818044B (zh) 2023-10-11
JP2021530109A (ja) 2021-11-04
US20210272828A1 (en) 2021-09-02
US11908715B2 (en) 2024-02-20
US20240194506A1 (en) 2024-06-13
JP7456951B2 (ja) 2024-03-27

Similar Documents

Publication Publication Date Title
TWI818044B (zh) 基板處理系統中之基板支撐件的動態溫度控制
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
TWI782943B (zh) 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
JP2022542093A (ja) 基板処理システムにおける不均一性のin situリアルタイム感知および補償
KR20180106931A (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
US20220243332A1 (en) Temperature control of a multi-zone pedestal
US20240203763A1 (en) Use of signal filtering schemes in high tcr based control
TW202106918A (zh) 使用電壓與電流量測以控制雙區陶瓷支座
TW202104657A (zh) 藉由調變多區域基板支撐件之溫度暫態的臨界尺寸不均勻性快速調整