JP2024069443A - 基板処理システムにおける基板支持体の動的温度制御 - Google Patents

基板処理システムにおける基板支持体の動的温度制御 Download PDF

Info

Publication number
JP2024069443A
JP2024069443A JP2024039540A JP2024039540A JP2024069443A JP 2024069443 A JP2024069443 A JP 2024069443A JP 2024039540 A JP2024039540 A JP 2024039540A JP 2024039540 A JP2024039540 A JP 2024039540A JP 2024069443 A JP2024069443 A JP 2024069443A
Authority
JP
Japan
Prior art keywords
resistive heating
zones
heating elements
temperature
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2024039540A
Other languages
English (en)
Inventor
サンダラム・サイラム
ダービン・アーロン
チャンドラセカーラン・ラメシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2024069443A publication Critical patent/JP2024069443A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Control Of Temperature (AREA)
  • Physical Vapour Deposition (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Figure 2024069443000001
【課題】基板支持体の動的温度制御を備える基板処理システムを提供する。
【解決手段】基板処理システム120のための温度制御式基板支持体は、処理チャンバ122に設置された基板支持体126を備える。基板支持体は、N(Nは1より大きい整数)のゾーン及びNの抵抗発熱体164を夫々備える。温度センサである熱電対165は、Nのゾーンの1つに設置されている。動的温度コントローラ163は、動作中のNの抵抗発熱体のNの抵抗を算出し、温度センサにが測定したNのゾーンの1つにおける温度、Nの抵抗発熱体のNの抵抗及びN-1の抵抗比に応答して、基板処理システムの動作中にNの抵抗発熱体のN-1への電力を調節する。
【選択図】図1

Description

[関連出願の相互参照]
本願は、2018年7月5日出願の米国仮出願第62/694,171号の利益を主張する。上記出願の全ての開示は、本明細書に参照として援用される。
本開示は、基板処理システムに関し、特に、基板支持体の動的温度制御を備える基板処理システムに関する。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
基板処理システムは、半導体ウエハなどの基板のエッチング、堆積、および/または、他の処理を実施するのに用いられてよい。基板上で実施されうる例示的プロセスは、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)、原子層エッチング(ALE)、プラズマ強化原子層堆積(PEALD)、ならびに/または、他のエッチングプロセス、堆積プロセス、および洗浄プロセスを含むが、それらに限定されない。処理の間、基板は、基板処理システムの処理チャンバにおいて台座や静電チャック(ESC)などの基板支持体上に配置される。基板を処理するために、プロセスガス混合物が処理チャンバに導入される。いくつかの例では、プラズマは、処理チャンバ内の化学反応を高めるために発生されてよい。
基板処理の間、基板の温度は、基板支持体に配置されている抵抗発熱体によって制御されてよい。いくつかの例では、抵抗発熱体は、別々に制御される2つ以上のゾーンに配置される。抵抗発熱体によって加熱されるゾーンの熱均一性を維持することは、通常、各ゾーンにおける直接的な温度測定、または、個々に較正された間接的な温度測定(例えば、温度との発熱体抵抗の既知の依存性)を必要とする。
基板処理システムのための温度制御式基板支持体は、処理チャンバに設置された基板支持体を備える。基板支持体は、NのゾーンおよびNの抵抗発熱体をそれぞれ備える(Nは1より大きい整数)。温度センサは、Nのゾーンの1つに設置される。コントローラは、動作中のNの抵抗発熱体のNの抵抗を算出するように構成され、温度センサよって測定されたNのゾーンの1つにおける温度、Nの抵抗発熱体のNの抵抗、およびN-1の抵抗比に応答して、基板処理システムの動作中にNの抵抗発熱体のN-1への電力を調節するように構成されている。
他の特徴では、N-1の抵抗比は、基板支持体が均一な温度にあるときのNのゾーンにおけるNの抵抗発熱体のNの抵抗をそれぞれ測定し、NのゾーンのN-1のNの抵抗のN-1を、Nのゾーンの1つに対応するNの抵抗の1つで割ることによって決定される。
他の特徴では、均一な温度は、大気温度に相当する。N-1のゾーンは、温度センサを備えない。コントローラは、Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視し、Nの抵抗発熱体に供給されたNの電圧に基づいてNの抵抗をそれぞれ算出することによって、動作中のNの抵抗発熱体のNの抵抗を算出する。
他の特徴では、コントローラは、Nの抵抗発熱体に供給されるNの電流をそれぞれ監視し、Nの抵抗発熱体に供給されたNの電流に基づいてNの抵抗をそれぞれ算出することによって、動作中のNの抵抗発熱体のNの抵抗を算出する。
他の特徴では、コントローラは、Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視し、Nの抵抗発熱体に供給されたNの電流およびNの電圧に基づいてNの抵抗をそれぞれ算出することによって、動作中のNの抵抗発熱体のNの抵抗を算出する。
他の特徴では、コントローラは、温度センサによって測定された温度に基づいて、Nのゾーンの1つへの電力を制御するように構成されている。
基板処理システムの基板支持体を製造する方法は、基板支持体のNのゾーンにNの抵抗発熱体を埋め込む工程と、基板支持体のNのゾーンの1つに温度センサを埋め込む工程と、基板支持体のNのゾーンにおけるNの抵抗発熱体のNの抵抗を測定する工程と、Nの抵抗に基づいてN-1の抵抗比を決定する工程と、Nの抵抗発熱体および温度センサにコントローラを接続する工程と、Nのゾーンの1つにおいて測定された温度、Nの抵抗発熱体のNの抵抗、およびN-1の抵抗比に応答して、基板処理システムの動作中にNのゾーンのN-1におけるNの抵抗発熱体のN-1の温度をそれぞれ制御するようにコントローラをプログラミングする工程と、を含む。
他の特徴では、N-1の抵抗比は、基板支持体が均一な温度にあるときのNの抵抗発熱体のNの抵抗をそれぞれ決定し、NのゾーンのN-1のNの抵抗のN-1を、Nのゾーンの1つに対応するNの抵抗の1つで割ることによって算出される。
他の特徴では、均一な温度は、大気温度に相当する。NのゾーンのN-1は、温度センサを備えない。Nの抵抗発熱体のNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電圧に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
他の特徴では、NのゾーンのNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電流に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
他の特徴では、動作中のNのゾーンのNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電流およびNの電圧に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
基板処理システムの基板支持体におけるゾーンの温度を制御するための方法は、Nのゾーンの1つに設置された温度センサを用いて、基板処理システムの動作中に、基板支持体のNのゾーンの1つの温度を測定する工程と(Nは1より大きい整数)、基板処理システムの動作中に、Nのゾーンに設置されたNの抵抗発熱体のNの抵抗をそれぞれ測定する工程と、Nのゾーンの1つにおいて測定された温度、Nの抵抗発熱体のNの抵抗、およびN-1の抵抗比に応答してNのゾーンのN-1における温度を制御するために、基板処理システムの動作中に、NのゾーンのN-1におけるNの抵抗発熱体のN-1への電力をそれぞれ調節する工程と、を含む。
他の特徴では、N-1の抵抗比は、基板支持体が均一な温度にあるときのNの抵抗発熱体のNの抵抗をそれぞれ決定し、NのゾーンのN-1のNの抵抗のN-1を、Nのゾーンの1つに対応するNの抵抗の1つで割ることによって算出される。
他の特徴では、均一な温度は、大気温度に相当する。NのゾーンのN-1は、温度センサを備えない。Nの抵抗発熱体のNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電圧に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
他の特徴では、NのゾーンのNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電流に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
他の特徴では、動作中のNのゾーンのNの抵抗を測定する工程は、Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、Nの抵抗発熱体に供給されたNの電流およびNの電圧に基づいてNの抵抗をそれぞれ算出する工程と、を含む。
他の特徴では、この方法は、温度センサによって測定された温度に基づいて、Nのゾーンの1つへの電力を制御する工程を含む。
本開示のさらなる適用範囲は、発明を実施するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実施するための形態および特定の例は、説明の目的のみを意図し、本開示の範囲を限定することを意図しない。
本開示は、発明を実施するための形態および添付の図面からより深く理解されるだろう。
本開示による、基板支持体などのゾーン分けされた温度制御式構成部品のための動的温度コントローラを備える例示的基板処理システムの機能ブロック図。
本開示による抵抗発熱体ゾーンを備える構成部品のための例示的動的温度制御システムの機能ブロック図。
本開示による複数の発熱体ゾーンを備える例示的台座。 本開示による複数の発熱体ゾーンを備える例示的台座。 本開示による複数の発熱体ゾーンを備える例示的台座。
本開示による2つのゾーンの発熱体の温度を関数とする抵抗および抵抗比を表すグラフ。 本開示による2つのゾーンの発熱体の温度を関数とする抵抗および抵抗比を表すグラフ。
本開示による動的温度制御を実施するための例示的方法を示すフローチャート。
先行技術による温度コントローラの時間を関数とする台座温度の制御を表す図。
本開示による動的温度コントローラの時間を関数とする台座温度の制御を表す図。
図面では、類似および/または同一の要素を特定するために、参照番号は繰り返し用いられてよい。
本開示は、基板処理システムの基板支持体における複数ゾーンの温度制御に関する特定の例を含むが、本明細書に記載のシステムおよび方法は、ゾーン分けされた抵抗発熱体を備える他の種類の構成部品における温度制御にも適用されうる。
いくつかの適用では、温度の均一性は、基板処理中の基板全体に望まれる。これらの適用では、電力は、各抵抗発熱体に独立して供給されてよい。しかし、マルチゾーン発熱体システムは、基板支持体の温度を測定するために、ゾーンの1つに設置された1つの熱電対のみを有することが多い。電力は、全てのゾーンで均一な温度を維持するために、熱電対を備えるゾーンに供給された電力の既定比(または、電力比)(または、デューティサイクルの既定比)で残りのゾーンに供給される。電力比またはデューティサイクル比は、通常、特定のプロセス条件のために決定され、一般に変動しない。残りのゾーンにおける実際の温度は、未知であるが、熱電対を備えるゾーンの既定範囲内であることが見込まれる。
しかし、いくつかの適用では、特定のプロセス条件の間に著しい熱負荷変動が起こり、熱電対を備えるゾーンに対して1または複数のゾーンの温度が変化させられる可能性がある。温度変化は、望ましくないプロセス制御をもたらす。温度変化が十分に大きいときは、基板支持体の欠陥が生じうる。
いくつかの加熱システムは、温度(または、抵抗温度係数(TCR))による発熱体コイルの抵抗率の依存性に依存する。これらのシステムは、一般に、個々のゾーンの温度を独立して制御し、通常、各ゾーンに温度センサまたは熱電対を必要とする。あるいは、各発熱素子についての抵抗に対する温度の個々の較正/特徴付け、およびそれらのゾーン温度との関係が決定されうる。
本開示は、ゾーンにおける温度の均一性を提供しながら上記要件を回避する方法を提供する。本開示は、各ゾーンにおける熱電対、または上記のような個々の較正/特徴付けを必要とせずに、全てのゾーンにわたる熱均一性を維持する。
TCRは、抵抗発熱体の材料の微細構造に関する材料特性である。同じ処理条件下で製造された同じ材料で作られた構成部品は、同一のまたは非常に近いTCR値を有することが見込まれる。つまり、マルチゾーン基板支持体の異なるゾーンに設置され、一緒に処理された抵抗発熱体で用いられる発熱コイル素子は、同一のまたは非常に近いTCR値を有することが見込まれる。発熱素子の抵抗は所定温度で異なる可能性があるが、発熱素子の抵抗は、温度と類似して推移する。つまり、熱電対(RZN)を備えるゾーンに対する熱電対(例えば、RZ1、RZ2、・・・RZN-1)なしのゾーンにおける抵抗発熱体の各抵抗比(RZ1/RZN、RZ2/RZN、・・・、およびRZN-1/RZN)は、抵抗発熱体が熱電対を備える抵抗発熱体と同じ温度であるときは同一に留まるだろう。よって、ゾーンの抵抗は、大気温度などの1つの温度において決定される場合は、ゾーンの対応する抵抗比は、熱電対なしの他のゾーンの所望の抵抗を決定するために、他の温度(例えば、大気温度から700℃までのプロセス温度)で用いられうる。所望の抵抗および測定された抵抗は、他のゾーンにおいて電力を制御し、均一な温度を提供するのに用いられる。
具体的には、本開示による動的温度コントローラは、既定温度の熱電対(RZN)を備えるゾーンに対する、熱電対(RZ1、RZ2、・・・RZN-1)なしのゾーンにおける抵抗発熱体のN-1の抵抗比(RZ1/RZN、RZ2/RZN、・・・、およびRZN-1/RZN)を決定する。例えば、抵抗比は、室温において決定され、他のプロセス温度に適用されることができる。次に、熱電対(RZ1、RZ2、・・・RZN-1)なしのゾーンにおける所望の抵抗を決定するために、熱電対を備えるゾーンZNのN-1の抵抗比(RZ1/RZN、RZ2/RZN、・・・、およびRZN-1/RZN)ならびに温度が用いられる。
例えば、第1のゾーンZ1の抵抗比がRZ1/RZN=1.102に等しい場合は、所定温度で測定された抵抗は、ゾーンZNにおける所望温度で10Ωであり、ゾーンRZ1の所望の抵抗=1.102×10Ω=11.02Ωである。例えば、第2のゾーンZ2の抵抗比がRZ2/RZN=1.08に等しい場合は、所定温度で測定された抵抗は、熱電対を備えるゾーンZNの所望温度において10Ωであり、第2のゾーンの所望の抵抗RZ1=1.08×10Ω=10.8Ωである。
本開示によるシステムおよび方法は、熱電対を備えるゾーンに対する熱電対なしのゾーンにおける抵抗発熱体の抵抗比を維持することによって、複数ゾーンの温度を制御する。つまり、熱電対を備えるゾーンの温度は、熱電対からの温度フィードバックに基づいて所望の温度に制御される。熱電対を備えるゾーンの抵抗は、所望の温度で測定される。抵抗比は、対応するゾーンにおいて所望の温度を実現するために、残りのゾーンにおける所望の抵抗を決定するのに用いられる。発熱体電力は、対応するゾーンの測定された抵抗が所望の抵抗にそれぞれ一致するように、対応するゾーンにおいて増加または減少される。
基板支持体の1つのゾーンにおいて1度の温度測定を用いることで、基板支持体の残りの全てのゾーンは、均一な温度に動的に制御されうる。その結果、基板支持体温度の均一性は、残りのゾーンにおいて熱電対を用いることなく、または、各ゾーンの抵抗対温度の事前較正なしで実現される。
ここで図1を参照すると、例示的基板処理システム120が示されている。容量結合プラズマ(CCP)を用いる化学気相堆積(CVD)または原子層堆積(ALD)用の処理チャンバが説明の目的で示されているが、他の種類の基板処理システムも用いられうる。
基板処理システム120は、基板処理システム120の他の構成部品を取り囲み、(用いられる場合は)RFプラズマを含む処理チャンバ122を備える。基板処理システム120は、上部電極124と、静電チャック(ESC)や台座などの基板支持体126とを備える。動作の間、基板128は、基板支持体126の上に配置される。
例えのみでは、上部電極124は、プロセスガスを導入し分配する、シャワーヘッドなどのガス分配装置129を備えてよい。ガス分配装置129は、処理チャンバの上面に接続された一端を有するステム部を備えてよい。ベース部は、一般に円筒状であり、処理チャンバの上面から離れた位置でステム部のもう一端から径方向外向きに伸びる。シャワーヘッドのベース部の基板対向面またはフェースプレートは、前駆体、反応剤、エッチングガス、不活性ガス、キャリアガス、他のプロセスガス、またはパージガスが流れる複数の穴を備える。あるいは、上部電極124は導電性プレートを備えてよく、プロセスガスは別の方法で導入されてよい。
基板支持体126は、下部電極として機能するベースプレート130を備える。ベースプレート130は、セラミック製マルチゾーン加熱プレートに相当しうる加熱プレート132を支持する。加熱プレート132とベースプレート130との間に、熱抵抗層134が配置されてよい。ベースプレート130は、ベースプレート130を通じて冷媒を流すための1または複数の流路136を備えてよい。
プラズマが用いられる場合は、RF発生システム140は、RF電圧を生成して、上部電極124および下部電極(例えば、基板支持体126のベースプレート130)のいずれかに出力する。上部電極124およびベースプレート130のもう一方は、DC接地されてよい、AC接地されてよい、または浮遊状態であってよい。例えのみでは、RF発生システム140は、整合分配ネットワーク144によって上部電極124またはベースプレート130に供給されるRF電圧を生成するRF電圧発生器142を備えてよい。他の例では、プラズマは誘導的にまたは遠隔的に生成されてよい。
ガス供給システム150は、1または複数のガス源、ガス源152-1、ガス源152-2、・・・、およびガス源152-N(総称して、ガス源152)(Nはゼロより大きい整数)を備える。ガス源152は、バルブ154-1、バルブ154-2、・・・、およびバルブ154-N(総称して、バルブ154)、ならびに、MFC156-1、MFC156-2、・・・、およびMFC156-N(総称して、MFC156)によってマニホルド160に接続されている。1つのガス供給システム150が示されているが、2つ以上のガス供給システムも用いられうる。
動的温度コントローラ163は、加熱プレート132に配置された複数の抵抗発熱体164に接続されている。動的温度コントローラ163は、加熱プレート132の複数の加熱ゾーンの1つに配置された熱電対165にも接続されている。残りの加熱ゾーンは、熱電対を備えない。動的温度コントローラ163は、基板支持体126および基板128の温度を調節し制御するよう複数の抵抗発熱体164を制御するために用いられてよい。
いくつかの例では、動的温度コントローラ163および/または別のコントローラは、流路136を通る冷媒流を制御するために、冷媒アセンブリ166とも連通してよい。例えば、冷媒アセンブリ166は、冷媒ポンプ、貯留槽、および/または、1もしくは複数の熱電対を備えてよい。いくつかの例では、動的温度コントローラ163は、基板支持体126を冷却するために流路136を通じて冷媒を選択的に流すよう冷媒アセンブリ166を操作する。
バルブ170およびポンプ172は、処理チャンバ122から反応剤を排出するのに用いられてよい。システムコントローラ180は、基板処理システム120の構成部品を制御するのに用いられてよい。
次に図2を参照すると、本開示による動的温度制御システム200が示されている。動的温度制御システム200は、駆動回路224-1、駆動回路224-2、・・・、および駆動回路224-N(総称して、駆動回路224)を有する電源220を備える(Nは、1より大きい整数)。駆動回路224は、以下にさらに説明されるように、抵抗発熱体への電力を制御する。
駆動回路224-1、駆動回路224-2、・・・、および駆動回路224-Nによって各ゾーンに出力される電流は、電流センサ228-1、電流センサ228-2、・・・、および電流センサ228-N(総称して、電流センサ228)によって監視される。駆動回路224-1、駆動回路224-2、・・・、および駆動回路224-Nによって各ゾーンに出力される電圧は、電圧センサ230-1、電圧センサ230-2、・・・、および電圧センサ230-N(総称して、電圧センサ230)によって監視される。
構成部品231は、加熱ゾーン232-1、加熱ゾーン232-2、・・・、および加熱ゾーン232-N(総称して、ゾーン232)を備える。以下の説明では、構成部品231は基板支持体を含むが、抵抗発熱体を有する複数ゾーンを備える任意の加熱構成部品が用いられうる。ゾーン232-1、ゾーン232-2、・・・、およびゾーン232-Nの各々は、そこに埋め込まれた抵抗発熱体236-1、抵抗発熱体232-2、・・・、および抵抗発熱体232-N(総称して、抵抗発熱体236)を備える。いくつかの例では、抵抗発熱体236は、対応する抵抗を有する発熱素子または発熱コイルを備える。ゾーン232-1、ゾーン232-2、・・・、およびゾーン232-Nの1つは、その温度を検出するための熱電対240を備える。ゾーン232-1、ゾーン232-2、・・・、およびゾーン232-Nの残りのゾーンは、熱電対を備えない。
コントローラ250は、電流センサ228および/または電圧センサ230、熱電対240、ならびに駆動回路224と連通する。コントローラ250は、発熱体制御モジュール254を備える。発熱体制御モジュール254は、電流センサ228および/または電圧センサ230からのそれぞれの電流測定値および/または電圧測定値に基づいて、抵抗発熱体236の各々の抵抗を決定する。いくつかの例では、電源220によって電流が十分に一定に保持されるとき、および、RF電力を制御するために電圧が変更されるときは、電流センサ228は省かれうる。他の例では、電源220によって電圧が十分に一定に保持されるとき、および、RF電力を制御するために電流が変更されるときは、電圧センサ230は省かれうる。
発熱体制御モジュール254は、熱電対240を備えるゾーン232の1つ(例えば、ゾーン232-1)の温度を監視し、熱電対240からの温度フィードバックに基づいてそのゾーンへの電力を制御する。発熱体制御モジュール254は、ゾーンの抵抗を測定し、抵抗比を用いて残りのゾーン232の所望の抵抗を決定する。発熱体制御モジュール254は、所望の抵抗を実現するために電力を増加または減少させるように残りのゾーン232の駆動回路224を制御することで、全ての残りのゾーン232において均一な温度をもたらす。
次に図3Aから図3Cを参照すると、基板支持体のゾーンの様々な例が示されている。特定の例が示されているが、他のゾーンレイアウトも用いられうる。図3Aでは、基板支持体310は、ゾーン1、ゾーン2、およびゾーン3を含む同心ゾーンを備える。各ゾーンは、抵抗発熱体を備える。図3Bでは、基板支持体350は、内側ゾーン360および外側ゾーン362を規定する抵抗発熱体コイルを備える。図3Cでは、内側ゾーン1は、周囲の外側ゾーン2、外側ゾーン3、外側ゾーン4、および外側ゾーン5によって囲まれている。各ゾーンは、抵抗発熱体を備える。理解されうるように、他のゾーンレイアウトも用いられうる。
次に図4Aおよび図4Bを参照すると、2つのゾーンの発熱体について温度を関数とした抵抗を表すグラフが示されている。図4Aでは、台座上のTC搭載ウエハに基づいて内側台座温度および外側台座温度を均一にするために駆動される動的温度コントローラを用いて、台座加熱中の内側発熱体の抵抗および外側発熱体の抵抗が記録されている。図4Bでは、内側抵抗および外側抵抗は、共に、0℃において1の抵抗に調整される。認められうるように、傾斜はほぼ一致している。内側抵抗/外側抵抗比は、温度範囲にわたって0.05%未満の変化を示している。これらの試験は、内側TCR値および外側TCR値が互いに非常に近く、較正なしで台座を均一に加熱するのに抵抗比が用いられうるという思想を支持するものである。
次に図5を参照すると、構成部品のゾーンの温度をそれぞれ制御するための方法400が示されている。方法400は、1つのゾーンのみに関連付けられた熱電対を用いて、構成部品の複数ゾーンの温度を均一な温度に制御するために用いられる。方法400は、410において、単一温度で構成部品の各ゾーンにおける抵抗発熱体の抵抗を決定する工程を含む。いくつかの例では、単一温度は大気温度であるが、他の温度も用いられうる。
414では、この方法は、(熱電対のない)残りのゾーンと熱電対を備えるゾーンとの間の抵抗比を決定する。418では、熱電対を備えるゾーンの温度が動作中に検出され、測定された温度に基づいて電力が制御され、熱電対を備えるゾーンの抵抗が測定される。422では、残りのゾーンにおける抵抗発熱体の抵抗が動作中に測定される。426では、対応する抵抗比を用いて残りのゾーンの所望の抵抗が算出される。430では、残りのゾーンに出力された電力は、測定された抵抗と所望の抵抗との間の差に基づいて制御される。
次に図5~図6を参照すると、それぞれ、従来の温度制御システムおよび本開示による動的温度制御システムについての、時間を関数とする温度が示されている。図5では、従来の温度制御システムを用いる図3Bの基板支持体350についての、時間を関数とする温度が示されている。図からわかるように、内側ゾーンと外側ゾーンとの間の温度差は20℃より大きく、プロセスの不均一性、欠陥、および/または基板支持体への損傷をもたらす傾向がある。図6では、本明細書に記載の温度制御システムを用いてより厳格な温度制御が実現され、プロセスの不均一性および欠陥が低減され、基板支持体への損傷が排除されている。
基板処理システムの基板支持体を製造する方法は、基板支持体のNのゾーンにNの抵抗発熱体を埋め込む工程を含む。温度センサは、基板支持体のNのゾーンの1つに埋め込まれる。基板支持体のNのゾーンにおけるNの抵抗発熱体のNの抵抗が測定される。Nの抵抗に基づいてN-1の抵抗比が決定される。コントローラは、Nの抵抗発熱体および温度センサに接続される。コントローラは、Nのゾーンの1つにおける測定された温度、Nの抵抗発熱体のNの抵抗、およびN-1の抵抗比に応答して、基板処理システムの動作中に、NのゾーンのN-1におけるNの抵抗発熱体のN-1の温度をそれぞれ制御するようにプログラムされる。
前述の説明は、本質的に単なる例示であり、本開示、その適用、または使用を限定する意図はない。本開示の広義の教示は、様々な形態で実施されうる。よって、本開示は特定の例を含むが、図面、明細書、および以下の特許請求の範囲を考察すると他の変更点が明らかになるため、本開示の真の範囲は、それほど限定されるべきでない。方法内の1または複数の工程は、本開示の原理を変更することなく異なる順序で(または、同時に)実行されてよいことを理解されたい。さらに、各実施形態は特定の特徴を有すると上述されているが、本開示の実施形態に関して記載されたそれらの1または複数の特徴は、他の実施形態において、および/または、他の実施形態の特徴と組み合わせて(その組み合わせが明記されていなくても)実施されうる。つまり、記載の実施形態は、相互に排他的でなく、1または複数の実施形態の互いの並べ替えは、本開示の範囲内に留まる。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「近接する」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。上記の開示で第1の要素と第2の要素との関係が説明されるときは、「直接的」であると明記されない限り、その関係は、第1の要素と第2の要素との間に他の要素が介在しない直接的関係でありうるが、第1の要素と第2の要素との間に1または複数の介在要素が(空間的または機能的に)存在する間接的関係でもありうる。本明細書では、A、B、およびCのうちの少なくとも1つという表現は、非排他的論理のORを用いる論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上記の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理構成部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のそれらの動作を制御するための電子機器と統合されてよい。この電子機器は、システムの様々な構成部品または副構成部品を制御しうる「コントローラ」を意味してよい。コントローラは、処理条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールに対するウエハ搬入出、ならびに/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出など、本明細書に開示されたプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの、様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1または複数の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、または、ファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1または複数の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のように、コントローラは、例えば、互いにネットワーク接続される1または複数の個別のコントローラを含むことや、本明細書に記載のプロセスおよび制御などの共通の目的のために協働することによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1または複数の集積回路と連通するチャンバの1または複数の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、クリーンチャンバまたはクリーンモジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理気相堆積(PVD)チャンバまたはPVDモジュール、化学気相堆積(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはツールモジュール、他のツール構成部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つまたは複数と連通しうる。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはツールモジュール、他のツール構成部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つまたは複数と連通しうる。本開示は以下の適用例を含む。
[適用例1]
基板処理システムのための温度制御式基板支持体であって、
NのゾーンおよびNの抵抗発熱体をそれぞれ備える基板支持体であって、Nは1より大きい整数であり、前記Nのゾーンの1つには温度センサが設置されている、基板支持体と、
コントローラであって、
動作中の前記Nの抵抗発熱体のNの抵抗を算出し、
前記基板処理システムの動作中に、
前記温度センサによって測定された前記Nのゾーンの前記1つにおける前記温度と、
前記Nの抵抗発熱体の前記Nの抵抗と、
N-1の抵抗比と、
に応答して、前記Nの抵抗発熱体のN-1への電力を調節するように構成された、コントローラと、
を備える、温度制御式基板支持体。
[適用例2]
適用例1に記載の温度制御式基板支持体であって、
前記N-1の抵抗比は、前記基板支持体が均一な温度にあるときに、前記Nのゾーンにおける前記Nの抵抗発熱体の前記Nの抵抗をそれぞれ測定し、前記NのゾーンのN-1の前記Nの抵抗のN-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることによって決定される、温度制御式基板支持体。
[適用例3]
適用例2に記載の温度制御式基板支持体であって、
前記均一な温度は、大気温度に相当する、温度制御式基板支持体。
[適用例4]
適用例1に記載の温度制御式基板支持体であって、
前記N-1のゾーンは、温度センサを備えない、温度制御式基板支持体。
[適用例5]
適用例1に記載の温度制御式基板支持体であって、
前記コントローラは、
前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視することと、
前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出することと、
によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
[適用例6]
適用例1に記載の温度制御式基板支持体であって、
前記コントローラは、
前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視することと、
前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出することと、
によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
[適用例7]
適用例1に記載の温度制御式基板支持体であって、
前記コントローラは、
前記Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視することと、
前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出することと、
によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
[適用例8]
適用例1に記載の温度制御式基板支持体であって、
前記コントローラは、前記温度センサによって測定された前記温度に基づいて、前記Nのゾーンの前記1つへの電力を制御するように構成されている、温度制御式基板支持体。
[適用例9]
基板処理システムのための温度制御式基板支持体を製造する方法であって、
基板支持体のNのゾーンにNの抵抗発熱体を埋め込む工程と、
前記基板支持体の前記Nのゾーンの1つに温度センサを埋め込む工程と、
前記基板支持体の前記Nのゾーンにおける前記Nの抵抗発熱体のNの抵抗を測定する工程と、
前記Nの抵抗に基づいてN-1の抵抗比を決定する工程と、
前記Nの抵抗発熱体および前記温度センサにコントローラを接続する工程と、
前記基板処理システムの動作中に、
前記Nのゾーンの前記1つにおける測定された温度と、
前記Nの抵抗発熱体の前記Nの抵抗と、
前記N-1の抵抗比と、
に応答して、前記NのゾーンのN-1における前記Nの抵抗発熱体のN-1の温度をそれぞれ制御するように前記コントローラをプログラミングする工程と、
を含む、方法。
[適用例10]
適用例9に記載の方法であって、
前記N-1の抵抗比は、
前記基板支持体が均一の温度であるときの前記抵抗発熱体の前記Nの抵抗をそれぞれ決定することと、
前記Nのゾーンの前記N-1の前記Nの抵抗の前記N-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることと、
によって算出される、方法。
[適用例11]
適用例9に記載の方法であって、
前記均一な温度は、大気温度に相当する、方法。
[適用例12]
適用例9に記載の方法であって、
前記Nのゾーンの前記N-1は、温度センサを備えない、方法。
[適用例13]
適用例9に記載の方法であって、
前記Nの抵抗発熱体の前記Nの抵抗を測定する工程は、
前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例14]
適用例9に記載の方法であって、
前記Nのゾーンの前記Nの抵抗を測定する工程は、
前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例15]
適用例9に記載の方法であって、
動作中の前記Nのゾーンの前記Nの抵抗を測定する工程は、
Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例16]
基板処理システムの基板支持体におけるゾーンの温度を制御するための方法であって、
前記基板処理システムの動作中に、Nのゾーンの1つに設置された温度センサを用いて、基板支持体の前記Nのゾーンの前記1つにおける温度を測定する工程であって、Nは1より大きい整数である、工程と、
前記基板処理システムの動作中に、前記Nのゾーンに設置されたNの抵抗発熱体のNの抵抗をそれぞれ測定する工程と、
前記基板処理システムの動作中に、
前記Nのゾーンの前記1つにおける前記測定された温度と、
前記Nの抵抗発熱体の前記Nの抵抗と、
N-1の抵抗比と、
に応答して前記NのゾーンのN-1における温度を制御するように、前記NのゾーンのN-1における前記Nの抵抗発熱体のN-1への電力をそれぞれ調節する工程と、
を含む、方法。
[適用例17]
適用例16に記載の方法であって、
前記N-1の抵抗比は、
前記基板支持体が均一の温度であるときの前記Nの抵抗発熱体の前記Nの抵抗をそれぞれ決定することと、
前記NのゾーンのN-1の前記Nの抵抗のN-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることと、
によって算出される、方法。
[適用例18]
適用例17に記載の方法であって、
前記均一な温度は、大気温度に相当する、方法。
[適用例19]
適用例16に記載の方法であって、
前記Nのゾーンの前記N-1は、温度センサを備えない、方法。
[適用例20]
適用例16に記載の方法であって、
前記Nの抵抗発熱体の前記Nの抵抗を測定する工程は、
前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例21]
適用例16に記載の方法であって、
前記Nのゾーンの前記Nの抵抗を測定する工程は、
前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例22]
適用例16に記載の方法であって、
動作中の前記Nのゾーンの前記Nの抵抗を測定する工程は、
Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、
前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
を含む、方法。
[適用例23]
適用例16に記載の方法であって、さらに、
前記温度センサによって測定された前記温度に基づいて、前記Nのゾーンの前記1つへの電力を制御する工程を含む、方法。

Claims (23)

  1. 基板処理システムのための温度制御式基板支持体であって、
    NのゾーンおよびNの抵抗発熱体をそれぞれ備える基板支持体であって、Nは1より大きい整数であり、前記Nのゾーンの1つには温度センサが設置されている、基板支持体と、
    コントローラであって、
    動作中の前記Nの抵抗発熱体のNの抵抗を算出し、
    前記基板処理システムの動作中に、
    前記温度センサによって測定された前記Nのゾーンの前記1つにおける前記温度と、
    前記Nの抵抗発熱体の前記Nの抵抗と、
    N-1の抵抗比と、
    に応答して、前記Nの抵抗発熱体のN-1への電力を調節するように構成された、コントローラと、
    を備える、温度制御式基板支持体。
  2. 請求項1に記載の温度制御式基板支持体であって、
    前記N-1の抵抗比は、前記基板支持体が均一な温度にあるときに、前記Nのゾーンにおける前記Nの抵抗発熱体の前記Nの抵抗をそれぞれ測定し、前記NのゾーンのN-1の前記Nの抵抗のN-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることによって決定される、温度制御式基板支持体。
  3. 請求項2に記載の温度制御式基板支持体であって、
    前記均一な温度は、大気温度に相当する、温度制御式基板支持体。
  4. 請求項1に記載の温度制御式基板支持体であって、
    前記N-1のゾーンは、温度センサを備えない、温度制御式基板支持体。
  5. 請求項1に記載の温度制御式基板支持体であって、
    前記コントローラは、
    前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視することと、
    前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出することと、
    によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
  6. 請求項1に記載の温度制御式基板支持体であって、
    前記コントローラは、
    前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視することと、
    前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出することと、
    によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
  7. 請求項1に記載の温度制御式基板支持体であって、
    前記コントローラは、
    前記Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視することと、
    前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出することと、
    によって、動作中の前記Nの抵抗発熱体の前記Nの抵抗を算出する、温度制御式基板支持体。
  8. 請求項1に記載の温度制御式基板支持体であって、
    前記コントローラは、前記温度センサによって測定された前記温度に基づいて、前記Nのゾーンの前記1つへの電力を制御するように構成されている、温度制御式基板支持体。
  9. 基板処理システムのための温度制御式基板支持体を製造する方法であって、
    基板支持体のNのゾーンにNの抵抗発熱体を埋め込む工程と、
    前記基板支持体の前記Nのゾーンの1つに温度センサを埋め込む工程と、
    前記基板支持体の前記Nのゾーンにおける前記Nの抵抗発熱体のNの抵抗を測定する工程と、
    前記Nの抵抗に基づいてN-1の抵抗比を決定する工程と、
    前記Nの抵抗発熱体および前記温度センサにコントローラを接続する工程と、
    前記基板処理システムの動作中に、
    前記Nのゾーンの前記1つにおける測定された温度と、
    前記Nの抵抗発熱体の前記Nの抵抗と、
    前記N-1の抵抗比と、
    に応答して、前記NのゾーンのN-1における前記Nの抵抗発熱体のN-1の温度をそれぞれ制御するように前記コントローラをプログラミングする工程と、
    を含む、方法。
  10. 請求項9に記載の方法であって、
    前記N-1の抵抗比は、
    前記基板支持体が均一の温度であるときの前記抵抗発熱体の前記Nの抵抗をそれぞれ決定することと、
    前記Nのゾーンの前記N-1の前記Nの抵抗の前記N-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることと、
    によって算出される、方法。
  11. 請求項9に記載の方法であって、
    前記均一な温度は、大気温度に相当する、方法。
  12. 請求項9に記載の方法であって、
    前記Nのゾーンの前記N-1は、温度センサを備えない、方法。
  13. 請求項9に記載の方法であって、
    前記Nの抵抗発熱体の前記Nの抵抗を測定する工程は、
    前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  14. 請求項9に記載の方法であって、
    前記Nのゾーンの前記Nの抵抗を測定する工程は、
    前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  15. 請求項9に記載の方法であって、
    動作中の前記Nのゾーンの前記Nの抵抗を測定する工程は、
    Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  16. 基板処理システムの基板支持体におけるゾーンの温度を制御するための方法であって、
    前記基板処理システムの動作中に、Nのゾーンの1つに設置された温度センサを用いて、基板支持体の前記Nのゾーンの前記1つにおける温度を測定する工程であって、Nは1より大きい整数である、工程と、
    前記基板処理システムの動作中に、前記Nのゾーンに設置されたNの抵抗発熱体のNの抵抗をそれぞれ測定する工程と、
    前記基板処理システムの動作中に、
    前記Nのゾーンの前記1つにおける前記測定された温度と、
    前記Nの抵抗発熱体の前記Nの抵抗と、
    N-1の抵抗比と、
    に応答して前記NのゾーンのN-1における温度を制御するように、前記NのゾーンのN-1における前記Nの抵抗発熱体のN-1への電力をそれぞれ調節する工程と、
    を含む、方法。
  17. 請求項16に記載の方法であって、
    前記N-1の抵抗比は、
    前記基板支持体が均一の温度であるときの前記Nの抵抗発熱体の前記Nの抵抗をそれぞれ決定することと、
    前記NのゾーンのN-1の前記Nの抵抗のN-1を、前記Nのゾーンの前記1つに対応する前記Nの抵抗の1つで割ることと、
    によって算出される、方法。
  18. 請求項17に記載の方法であって、
    前記均一な温度は、大気温度に相当する、方法。
  19. 請求項16に記載の方法であって、
    前記Nのゾーンの前記N-1は、温度センサを備えない、方法。
  20. 請求項16に記載の方法であって、
    前記Nの抵抗発熱体の前記Nの抵抗を測定する工程は、
    前記Nの抵抗発熱体に供給されるNの電圧をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  21. 請求項16に記載の方法であって、
    前記Nのゾーンの前記Nの抵抗を測定する工程は、
    前記Nの抵抗発熱体に供給されるNの電流をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電流に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  22. 請求項16に記載の方法であって、
    動作中の前記Nのゾーンの前記Nの抵抗を測定する工程は、
    Nの抵抗発熱体に供給されるNの電流およびNの電圧をそれぞれ監視する工程と、
    前記Nの抵抗発熱体に供給された前記Nの電流および前記Nの電圧に基づいて前記Nの抵抗をそれぞれ算出する工程と、
    を含む、方法。
  23. 請求項16に記載の方法であって、さらに、
    前記温度センサによって測定された前記温度に基づいて、前記Nのゾーンの前記1つへの電力を制御する工程を含む、方法。
JP2024039540A 2018-07-05 2024-03-14 基板処理システムにおける基板支持体の動的温度制御 Pending JP2024069443A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862694171P 2018-07-05 2018-07-05
US62/694,171 2018-07-05
JP2020573317A JP7456951B2 (ja) 2018-07-05 2019-07-02 基板処理システムにおける基板支持体の動的温度制御
PCT/US2019/040416 WO2020010153A1 (en) 2018-07-05 2019-07-02 Dynamic temperature control of substrate support in substrate processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020573317A Division JP7456951B2 (ja) 2018-07-05 2019-07-02 基板処理システムにおける基板支持体の動的温度制御

Publications (1)

Publication Number Publication Date
JP2024069443A true JP2024069443A (ja) 2024-05-21

Family

ID=69059304

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020573317A Active JP7456951B2 (ja) 2018-07-05 2019-07-02 基板処理システムにおける基板支持体の動的温度制御
JP2024039540A Pending JP2024069443A (ja) 2018-07-05 2024-03-14 基板処理システムにおける基板支持体の動的温度制御

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020573317A Active JP7456951B2 (ja) 2018-07-05 2019-07-02 基板処理システムにおける基板支持体の動的温度制御

Country Status (6)

Country Link
US (2) US11908715B2 (ja)
JP (2) JP7456951B2 (ja)
KR (1) KR20210019573A (ja)
CN (1) CN112368415B (ja)
TW (2) TW202401629A (ja)
WO (1) WO2020010153A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11646213B2 (en) * 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
CN113699509B (zh) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 一种半导体生长设备及其工作方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780120A (en) 1987-07-06 1988-10-25 Owens-Corning Fiberglas Corporation Bushing balance controller and method of using same
EP0598410B1 (en) 1989-02-14 2001-05-23 Seiko Epson Corporation A method of manufacturing a semiconductor device
US5126533A (en) 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5071459A (en) 1990-05-30 1991-12-10 Ppg Industries, Inc. Bushing balance controller for multiple segment bushings
US5280422A (en) 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
US5552998A (en) 1990-11-05 1996-09-03 Watlow/Winona, Inc. Method and apparatus for calibration and controlling multiple heaters
JPH07153550A (ja) 1993-12-02 1995-06-16 Chubu Electric Power Co Inc 電気ヒ−タの温度制御方法
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH09270384A (ja) 1996-03-29 1997-10-14 Nikon Corp 温度制御装置及び露光装置
JP3986598B2 (ja) 1996-10-08 2007-10-03 キヤノンアネルバ株式会社 基板温度制御機構
US6270638B1 (en) * 1997-05-23 2001-08-07 Kabushiki Kaisha Riken Pyro-sensor and pyro-control circuit
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP2000235886A (ja) 1998-12-14 2000-08-29 Tokyo Electron Ltd 加熱手段の温度制御装置および温度制御方法
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP4209057B2 (ja) 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
DE10032465A1 (de) 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
JP2002319525A (ja) 2001-04-20 2002-10-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータ
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6897411B2 (en) 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
JP4047762B2 (ja) 2002-05-14 2008-02-13 日本特殊陶業株式会社 グロープラグの制御装置
US6783630B2 (en) 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US6977575B2 (en) 2003-05-22 2005-12-20 Rtd Company Flexible averaging resistance temperature detector
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
US20050194374A1 (en) 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
TWI323622B (en) 2004-09-30 2010-04-11 Watlow Electric Mfg Modular layered heater system
US20070006936A1 (en) 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
KR100974130B1 (ko) 2005-08-17 2010-08-04 어플라이드 머티어리얼스, 인코포레이티드 용접된 판과 저항식 히터를 갖는 기판 지지대
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
JP5347214B2 (ja) 2006-06-12 2013-11-20 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP5183058B2 (ja) 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド 急速温度勾配コントロールによる基板処理
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR20080070360A (ko) 2007-01-26 2008-07-30 삼성전자주식회사 화학기상 증착설비
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
WO2008117200A2 (en) * 2007-03-23 2008-10-02 Koninklijke Philips Electronics N.V. Integrated microfluidic device with reduced peak power consumption
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
CN101903996B (zh) 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
US8249436B2 (en) 2008-05-02 2012-08-21 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
TWI385727B (zh) 2009-01-16 2013-02-11 Marketech Int Corp Applied to the photovoltaic industry, the semiconductor industry, the vacuum environment with high temperature temperature control zone temperature control heater
JP5705133B2 (ja) 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5567318B2 (ja) * 2009-11-20 2014-08-06 株式会社国際電気セミコンダクターサービス 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法
JP6066728B2 (ja) 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8840301B2 (en) 2010-06-08 2014-09-23 Analysis & Measurement Services Corporation Diverse and redundant resistance temperature detector
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120118225A1 (en) * 2010-09-16 2012-05-17 Applied Materials, Inc. Epitaxial growth temperature control in led manufacture
CN103348776B (zh) 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8552346B2 (en) 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US10242890B2 (en) 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
CN103828031B (zh) * 2011-08-17 2016-10-26 朗姆研究公司 用于监测复用加热器阵列的温度并控制该阵列的系统和方法
CA2847437C (en) 2011-08-30 2017-03-14 Watlow Electric Manufacturing Company Thermal array system
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9490150B2 (en) 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
JP2015536043A (ja) * 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20140251214A1 (en) 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20150083042A1 (en) 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US20150089961A1 (en) 2013-09-30 2015-04-02 Yacov Duzly Temperature-Controlled Storage Module
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US10378805B2 (en) 2014-03-07 2019-08-13 Alliance For Sustainable Energy, Llc Model predictive control for heat transfer to fluids
JP6378942B2 (ja) 2014-06-12 2018-08-22 東京エレクトロン株式会社 載置台及びプラズマ処理装置
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
WO2016080502A1 (ja) 2014-11-20 2016-05-26 住友大阪セメント株式会社 静電チャック装置
TWI563542B (en) 2014-11-21 2016-12-21 Hermes Epitek Corp Approach of controlling the wafer and the thin film surface temperature
JP5962833B2 (ja) 2015-01-16 2016-08-03 Toto株式会社 静電チャック
JP6806704B2 (ja) 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
WO2017004050A1 (en) 2015-06-29 2017-01-05 Applied Materials, Inc. Temperature controlled substrate processing
US9673025B2 (en) 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
KR101776562B1 (ko) 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
JP6622052B2 (ja) 2015-10-14 2019-12-18 日本特殊陶業株式会社 セラミックヒータ及び静電チャック
US10707110B2 (en) 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
KR102329513B1 (ko) * 2016-05-10 2021-11-23 램 리써치 코포레이션 적층된 히터와 히터 전압 입력부들 사이의 연결부들
US10908195B2 (en) 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
KR20230107913A (ko) 2016-06-15 2023-07-18 와틀로 일렉트릭 매뉴팩츄어링 컴파니 열시스템용 전력 변환기
WO2017218044A1 (en) 2016-06-15 2017-12-21 Applied Materials, Inc. Gas distribution plate assembly for high power plasma etch processes
JP6688172B2 (ja) 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
KR102303971B1 (ko) * 2016-07-19 2021-09-24 엔지케이 인슐레이터 엘티디 정전척 히터
JP6808423B2 (ja) 2016-09-28 2021-01-06 東京エレクトロン株式会社 基板処理装置および処理液供給方法
EP3530072B1 (en) 2016-10-21 2020-12-02 Watlow Electric Manufacturing Company Electric heaters with low drift resistance feedback
KR101877667B1 (ko) 2017-02-28 2018-07-11 세메스 주식회사 반도체 패키지 테스트 방법
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN110914955B (zh) 2017-10-18 2022-10-28 新日本科技炭素株式会社 基座及其制造方法
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
JP7094804B2 (ja) * 2018-07-03 2022-07-04 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters

Also Published As

Publication number Publication date
US20210272828A1 (en) 2021-09-02
WO2020010153A1 (en) 2020-01-09
US11908715B2 (en) 2024-02-20
JP7456951B2 (ja) 2024-03-27
US20240194506A1 (en) 2024-06-13
TWI818044B (zh) 2023-10-11
TW202017077A (zh) 2020-05-01
CN112368415B (zh) 2024-03-22
KR20210019573A (ko) 2021-02-22
TW202401629A (zh) 2024-01-01
CN112368415A (zh) 2021-02-12
JP2021530109A (ja) 2021-11-04

Similar Documents

Publication Publication Date Title
JP7456951B2 (ja) 基板処理システムにおける基板支持体の動的温度制御
US11028482B2 (en) Use of voltage and current measurements to control dual zone ceramic pedestals
JP7191832B2 (ja) 熱制御素子を用いるesc温度推定のための仮想測定方法
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
JP2022542093A (ja) 基板処理システムにおける不均一性のin situリアルタイム感知および補償
US20180173255A1 (en) System and method for calculating substrate support temperture
JP2023519306A (ja) レーザ透過型センサを用いたウエハの厚さおよびギャップのその場モニタリング
US20220243332A1 (en) Temperature control of a multi-zone pedestal
US20240203763A1 (en) Use of signal filtering schemes in high tcr based control
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
TW202310237A (zh) 在基於高tcr控制中的信號濾波方案之使用
TW202104657A (zh) 藉由調變多區域基板支撐件之溫度暫態的臨界尺寸不均勻性快速調整

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240408

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240408