CN112309935A - 立式批处理炉组件 - Google Patents

立式批处理炉组件 Download PDF

Info

Publication number
CN112309935A
CN112309935A CN202010729606.4A CN202010729606A CN112309935A CN 112309935 A CN112309935 A CN 112309935A CN 202010729606 A CN202010729606 A CN 202010729606A CN 112309935 A CN112309935 A CN 112309935A
Authority
CN
China
Prior art keywords
wafer
boat
cassette
transfer
furnace assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010729606.4A
Other languages
English (en)
Inventor
J.弗卢特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112309935A publication Critical patent/CN112309935A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D15/00Handling or treating discharged material; Supports or receiving chambers therefor
    • F27D15/02Cooling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/045Storage devices mechanical in a circular arrangement, e.g. towers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/12Travelling or movable supports or containers for the charge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D2003/0034Means for moving, conveying, transporting the charge in the furnace or in the charging facilities
    • F27D2003/0051Means for moving, conveying, transporting the charge in the furnace or in the charging facilities comprising means to pick up the charge and put it down
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D2003/0085Movement of the container or support of the charge in the furnace or in the charging facilities
    • F27D2003/0087Rotation about a vertical axis

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

用于处理晶片的立式批处理炉组件,其包括盒操纵空间、晶片操纵空间以及分隔盒操纵空间和晶片操纵空间的内壁。盒操纵空间设置有盒存放器,其配置为存放多个晶片盒。盒操纵空间还设置有盒操纵器,其配置为在盒存放器和晶片传送位置之间传送晶片盒。所述晶片操纵空间设置有晶片操纵器,其配置为在晶片舟和晶片传送位置中的晶片盒之间传送晶片。所述内壁在晶片传送位置处附近设置有用于晶片盒的晶片传送开口,晶片被传送至晶片盒或从晶片盒传出。盒存放器包括直径在1.1至1.6米之间的盒存放转盘。

Description

立式批处理炉组件
技术领域
本公开总体上涉及一种用于处理晶片的立式批处理炉组件。
背景技术
立式批处理炉组件可以包括盒操纵空间,其设置有用于存放多个晶片盒 的盒存放器。盒存放器可以包括盒存放转盘和相关的盒操纵器。盒操纵器可 以将盒放置在相关盒存放转盘的平台级上。盒存放转盘中的平台级的数量以 及可以存放在单个平台级上的晶片盒的数量可以根据设计而变化。
发明内容
提供本概述是为了以简化形式介绍一些构思。在下面的本公开的示例实 施例的详细描述中进一步详细描述了这些构思。本概述既不旨在标识所要求 保护的主题的关键特征或必要特征,也不旨在用于限制所要求保护的主题的 范围。
一个目的是提供一种具有改进的存放容量的立式批处理炉组件。
为此,可以提供立式批处理炉组件。更特别地,可以提供用于处理晶片 的立式批处理炉组件。
立式批处理炉组件可以包括盒操纵空间。盒操纵空间可以设置有盒存 放器,其配置为存放设置有多个晶片的多个晶片盒。盒操纵空间可以设置有 盒操纵器,其配置为在盒存放器和晶片传送位置之间传送晶片盒。立式批处 理炉组件可以包括晶片操纵空间。晶片操纵空间可以设置有晶片操纵器,该 晶片操纵器配置为在晶片传送位置中的晶片盒和晶片舟传送位置中的晶片 舟之间传送晶片。立式批处理炉组件可以包括分隔盒操纵空间和晶片操纵空 间的内壁。内壁在晶片传送位置处附近可以设置有用于晶片盒的晶片传送开口,晶片被传送至晶片盒或从晶片盒传出。盒存放器可以包括直径在1.1至 1.6米之间的盒存放转盘。
具有直径在1.1至1.6米之间的盒存放转盘的优点在于,盒存放转盘可 以在工具的整个宽度上延伸,并且以此方式可以容纳大量的盒。
为了概述本发明以及与现有技术相比所获得的优点,在上文中已经描述 了本发明的某些目的和优点。当然,应当理解,根据本发明的任何特定实施 例,不一定可以实现所有这些目的或优点。因此,例如,本领域技术人员可 以认识到,本发明可以以实现或优化本文所教导或提出的一个或一组优点的 方式实施或执行,而不必实现本文可能教导或提出的其他目的或优点。
在从属权利要求中要求保护各种实施例,将参考附图中示出的示例进一 步阐明这些实施例。实施例可以组合或可以彼此分离地应用。
所有这些实施例都旨在落入本文公开的本发明的范围内。通过参考附图 对某些实施例的以下详细描述,这些和其他实施例对于本领域技术人员将变 得显而易见,本发明不限于所公开的任何特定实施例。
附图说明
尽管说明书以特别指出并明确要求保护被认为是本发明的实施例的权 利要求作为结尾,但是当结合附图阅读本公开的实施例的某些示例时,可以 容易地从本公开的实施例的某些示例的描述中确定本公开的实施例的优点, 其中:
图1示出了立式批处理炉组件的示例的示意性俯视图;
图2示出了图1的示例的沿图1、3和4中的线II的示意性剖面视图;
图3示出了图1的示例的沿图2和4中的线III的示意性剖面俯视图; 和
图4示出了图1的示例的沿图1、2和3中的线IV的示意性剖面视图。
具体实施方式
在本申请中,相似或相应的特征由相似或相应的附图标记表示。各种实 施例的描述不限于附图中示出的示例,并且在详细描述中使用的附图标记和 权利要求书不旨在限制实施例的描述,而是包括以通过引用图中所示的示例 来阐明实施例。
尽管下面公开了某些实施例和示例,但是本领域技术人员将理解,本发 明涵盖了本发明的具体公开的实施例和/或用途及其明显的修改和等同形式。 因此,意图是所公开的本发明的范围不应受到以下描述的特定公开的实施例 的限制。本文呈现的图示并不意味着是任何特定材料、结构或设备的实际视 图,而仅仅是用于描述本公开的实施例的理想化表示。
如本文所使用的,术语“晶片”可以指可以使用的任何下面的一种或多种 材料,或者可以在其上形成器件、电路或膜的材料。
在最一般的术语中,本公开提供了一种用于处理晶片的立式批处理炉组 件10,其示例在图1-4中示出。立式批处理炉组件10可以包括盒操纵空间 26。盒操纵空间26可以设置有盒存放器,该盒存放器配置为存放设置有多 个晶片的多个晶片盒16。盒操纵空间26可以设置有盒操纵器28,该盒操纵 器28配置为在盒存放器和晶片传送位置24之间传送晶片盒16。立式批处理 炉组件10可以包括晶片操纵空间30。晶片操纵空间30可以设置有晶片操纵 器32,该晶片操纵器32配置为在晶片传送位置24中的晶片盒16和晶片舟 传送位置34中的晶片舟12之间传送晶片。
立式批处理炉组件10可以包括内壁20,内壁20将盒操纵空间26和晶 片操纵空间30分隔。内壁20在晶片传送位置24处附近可以设置有用于晶 片盒16的晶片传送开口22,晶片被传送至晶片盒16或从晶片盒16传出。 盒存放器可以包括直径在1.1至1.6米之间的盒存放转盘18。
在概述部分中已经描述了立式批处理炉组件10的效果和优点,并且这 些效果和优点通过引用插入本文。
在一实施例中,盒存放转盘18可以具有至少一个平台级70。盒存放区 可以具有每个平台级70上5至8个晶片盒16的存放容量。例如,盒存放转 盘18可以具有约1.1至1.2米的直径,以具有每个平台级70上5个晶片盒 19的存放容量。在另一个示例中,盒存放转盘18可以具有约1.3至1.5米的 直径,以具有每个平台级70上6个晶片盒16的存放容量。或者如图3所示, 盒存放转盘18可以具有约1.4至1.6米的直径,以具有每个平台级70上约8 个晶片盒16的存放容量,其中示出了4个晶片盒16。
在一实施例中,立式批处理炉组件10可以设置有基本矩形的覆盖区, 该覆盖区具有两个相对的限定了立式批处理炉组件10的宽度36的短边,并 且具有两个相对的限定了立式批处理炉组件10的长度38的长边。立式批处 理炉组件10的宽度36可以是1.65米或更小。
通过具有大体上矩形的覆盖区,可以将多个立式批处理炉组件10彼此 相邻地布置,从而最佳地利用可用的地板面积。具有矩形覆盖区的相邻立式 批处理炉组件之间可以没有未使用的空间。鉴于其他制造商/品牌的立式批处 理炉组件的互换性宽度,小于1.65米的宽度36是有利的。
在一实施例中,立式批处理炉组件10可以进一步包括第一处理室56, 用于处理容纳在晶片舟12中的晶片。立式批处理炉组件10还可以包括第二 处理室58,用于处理容纳在晶片舟12中的晶片。立式批处理炉组件10还可 进一步包括单个晶片舟操纵装置60。
晶片舟操纵装置60可以包括具有多个晶片舟支撑表面74的一个可旋转 台72,每个晶片舟支撑表面74配置为用于支撑晶片舟12。旋转台72可以 由致动器围绕基本竖直的中心轴线76旋转。可旋转台72可旋转以将每个晶 片舟支撑表面74传送到第一晶片舟装载/接收位置62,在该位置中,晶片舟 操纵装置60配置为将晶片舟12从可旋转台72竖直地装载到第一处理室56 中,并从第一处理室56接收晶片舟12至可旋转台72上。可旋转台72还可旋转以将每个晶片舟支撑表面74传送到第二晶片舟装载/接收位置64,在该 位置中,晶片舟操纵装置60配置为将晶片舟12从可旋转台72竖直地装载 到第二处理室58中,并从第二处理室58接收晶片舟12至可旋转台72上。 晶片舟操纵装置60可以包括第一晶片舟升降组件78,该第一晶片舟升降组 件78配置为在第一晶片舟装载/接收位置62和第一处理室56之间传送晶片 舟12。晶片舟操纵装置60还可以包括第二晶片舟升降组件78',该第二晶片 舟升降组件78'配置为在第二晶片舟装载/接收位置64和第二处理室58之间 传送晶片舟12。可旋转台72可以进一步可旋转以将每个晶片舟支撑表面74 传送到冷却位置80,在该冷却位置80中,晶片舟操纵装置60配置为冷却晶 片舟12。可旋转台72还可以可旋转以将每个晶片舟支撑表面74传送到中间 位置82。可以设置舟传送机构84,以将处于中间位置82的晶片舟支撑表面 74上的晶片舟12传送到舟传送位置34,反之亦然。
单个晶片舟操纵装置60因此可以配置为将晶片舟12装载到第一处理室 56和第二处理室58两者,并且从第一处理室56和第二处理室58两者接收 晶片舟12。借助于这种配置,立式批处理炉组件10可以利用晶片舟操纵装 置60将晶片舟12装载到第一处理室56/从第一处理室56接收晶片舟12,对 于第二处理室58亦然。因此,立式批处理炉组件10不需要第二晶片舟操纵 装置60来将晶片舟12装载到第二处理室58/从第二处理室58接收晶片舟12。 不需要容纳这样的第二晶片舟操纵装置60的空间可以用于减小立式批处理 炉组件10的宽度36。
在一实施例中,立式批处理炉组件10还可以包括至少一个盒进出端口 48。至少一个盒进出端口48提供了进入立式批处理炉组件10的入口和从立 式批处理炉组件10的出口,用于在立式批处理炉组件10与外界之间交换晶 片盒16。至少一个盒进出端口48可以设置在界定盒操纵空间26的壁84中。 所述壁84可以在立式批处理炉组件10的基本矩形覆盖区的短边处或附近。 盒操纵器28可以配置为在盒存放器、晶片传送位置24和至少一个盒进出端 口48之间传送晶片盒16。
这样的盒操纵器28可以操纵盒操纵空间26内的所有晶片盒传送。这可 以提供传送晶片盒的有效率且成本有效的方式。
在一实施例中,盒操纵器28可以设置有盒操纵器臂50和升降机构52, 该升降机构52配置为到达盒存放器内不同的竖直高度处的晶片盒16。
尽管上面已经部分地参考附图描述了本发明的示例性实施例,但是应当 理解,本发明不限于这些实施例。通过研究附图、公开内容和所附权利要求, 本领域技术人员在实践所要求保护的发明时可以理解和实现所公开的实施 例的变型。
贯穿本说明书对“一个实施例”或“一实施例”的引用意味着结合该实施 例描述的特定特征、结构或特性被包括在本发明的至少一实施例中。因此, 在本说明书中各处出现的短语“在一个实施例中”或“在一实施例中”不一定都 指的是同一实施例。
此外,应注意,上述各种实施例中的一个或多个的特定特征、结构或特 性可以彼此独立地使用,并且可以以任何合适的方式组合以形成新的、未详 细描述的实施例。在详细描述和权利要求中使用的附图标记不限制实施例的 描述,也不限制权利要求。参考数字仅用于清楚示意。
附图标记
10–立式批处理炉组件
12–晶片舟
16–晶片盒
18–盒存放转盘
20–内壁
22–晶片传送开口
24–晶片传送位置
26–盒操纵空间
28–盒操纵器
30–晶片操纵空间
32–晶片操纵器
34–晶片舟传送位置
36–(立式批处理炉组件的)宽度
38–(立式批处理炉组件的)长度
48–盒进出端口
50–盒操纵器臂
52–升降机构
56–第一处理室
58–第二处理室
60–晶片舟操纵装置
62–第一晶片舟装载/接收位置
64–第二晶片舟装载/接收位置
70–平台级
72–可旋转台
74–晶片舟支撑表面
76–中心轴线
78和78'–晶片舟升降组件
80–冷却位置
82–中间位置
84–晶片舟传送机构

Claims (13)

1.一种用于处理晶片的立式批处理炉组件(10),包括:
盒操纵空间(26),设置有盒存放器和盒操纵器(28),所述盒存放器配置为存放设置有多个晶片的多个晶片盒(16),所述盒操纵器(28)配置为在盒存放器和晶片传送位置(24)之间传送晶片盒(16);
晶片操纵空间(30),设置有晶片操纵器(32),所述晶片操纵器(32)配置为在晶片传送位置(24)中的晶片盒(16)和晶片舟传送位置(34)中的晶片舟(12)之间传送晶片;
内壁(20),其将盒操纵空间(26)和晶片操纵空间(30)分隔,并且在晶片传送位置(24)附近设置有用于晶片盒(16)的晶片传送开口(22),晶片被传送至晶片盒(16)或从晶片盒(16)传出,其中,所述盒存放器包括直径在1.1至1.6米之间的盒存放转盘(18)。
2.根据权利要求1所述的立式批处理炉组件,其设置有基本上矩形的覆盖区,所述覆盖区具有两个相对的短边限定所述立式批处理炉组件(10)的宽度(36),并且具有两个相对的长边限定所述立式批处理炉组件(10)的长度(38),其中所述立式批处理炉组件(10)的宽度(36)为1.65米或更小。
3.根据权利要求1或2所述的立式批处理炉组件,其中,所述盒存放转盘(18)具有至少一个平台级(70),并且具有每个平台级(70)上5至8个晶片盒(16)之间的存放容量。
4.根据权利要求3所述的立式批处理炉组件,其中,所述盒存放转盘(18)的直径约为1.1至1.2米,并且每个平台级(70)的存放容量为5个晶片盒(16)。
5.根据权利要求3所述的立式批处理炉组件,其中,所述盒存放转盘(18)的直径约为1.3至1.5米,并且每个平台级(70)的存放容量为6个晶片盒(16)。
6.根据权利要求3所述的立式批处理炉组件,其中,所述盒存放转盘(18)的直径约为1.4至1.6米,并且每个平台级(70)的存放容量为约8个晶片盒(16)。
7.根据前述权利要求中的任一项所述的立式批处理炉组件,还包括:
第一处理室(56),用于处理容纳在晶片舟(12)中的晶片;
第二处理室(58),用于处理容纳在晶片舟(12)中的晶片;以及
单个晶片舟搬运装置(60),包括具有多个晶片舟支撑表面(74)的一个可旋转台(72),每个晶片舟支撑表面(74)配置为用于支撑晶片舟(12),其中,所述可旋转台(72)可通过致动器围绕基本竖直的中心轴线(76)旋转以将每个晶片舟支撑表面(74)传送到:
第一晶片舟装载/接收位置(62),在该位置中晶片舟操纵装置(60)配置为将晶片舟(12)从可旋转台(72)竖直地装载到第一处理室(56)中并从第一处理室(56)接收晶片舟(12)至可旋转台(72)上;和
第二晶片舟装载/接收位置(64),在该位置中晶片舟操纵装置(60)配置为将晶片舟(12)从可旋转台(72)竖直地装载到第二处理室(58)中并从第二处理室(58)接收晶片舟(12)至可旋转台(72)上。
8.根据权利要求7所述的立式批处理炉组件,其中,所述晶片舟操纵装置(60)包括第一晶片舟升降组件(78),其配置为在所述第一晶片舟装载/接收位置(62)和第一处理室(56)之间传送晶片舟(12)。
9.根据权利要求7或8所述的立式批处理炉组件,其中,所述晶片舟操纵装置(60)包括第二晶片舟升降组件(78),其配置为在所述第二晶片舟装载/接收位置(64)和第二处理室(58)之间传送晶片舟(12)。
10.根据权利要求7至9中任一项所述的立式批处理炉组件,其中,所述可旋转台(72)可旋转以将每个晶片舟支撑表面(74)也传送至:
冷却位置(80),在该位置中晶片舟操纵装置(60)配置为冷却晶片舟(12)。
11.根据权利要求7至10中任一项所述的立式批处理炉组件,其中,所述可旋转台(72)可旋转以将每个晶片舟支撑表面(74)也传送到中间晶片舟位置(82),其中,所述立式批处理炉组件还包括舟传送机构(84),其配置为将处于中间位置(82)的晶片舟支撑表面(74)上的晶片舟(12)传送到舟传送位置(34),反之亦然。
12.根据前述权利要求中的任一项所述的立式批处理炉组件,还包括至少一个盒进出端口(48),所述至少一个盒进出端口(48)设置在界定所述盒操纵空间(26)的壁(84)中,其中,所述盒操纵器(28)配置为在盒存放器、晶片传送位置(24)和至少一个盒进出端口(48)之间传送晶片盒(16)。
13.根据前述权利要求中的任一项所述的立式批处理炉组件,其中,所述盒操纵器(28)设置有盒操纵器臂(50)和升降机构(52),所述升降机构(52)配置为到达盒存放器内不同的竖直高度处的晶片盒(16)。
CN202010729606.4A 2019-07-31 2020-07-27 立式批处理炉组件 Pending CN112309935A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962880946P 2019-07-31 2019-07-31
US62/880,946 2019-07-31

Publications (1)

Publication Number Publication Date
CN112309935A true CN112309935A (zh) 2021-02-02

Family

ID=74258374

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010729606.4A Pending CN112309935A (zh) 2019-07-31 2020-07-27 立式批处理炉组件

Country Status (5)

Country Link
US (1) US11915960B2 (zh)
JP (1) JP2021027352A (zh)
KR (1) KR20210016282A (zh)
CN (1) CN112309935A (zh)
TW (1) TW202120403A (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11862490B2 (en) * 2021-07-28 2024-01-02 Changxin Memory Technologies, Inc. Diffusion furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975209B (zh) * 2022-07-22 2022-11-01 智程半导体设备科技(昆山)有限公司 一种半导体晶圆清洗机上料设备

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
JP2003007800A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR101772546B1 (ko) * 2012-05-28 2017-08-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 온도 계측 시스템, 처리 장치의 온도 계측 방법, 반송 장치 및 기록 매체
US9146551B2 (en) * 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
US10096501B2 (en) * 2013-08-27 2018-10-09 Hitachi Kokusai Electric Inc. Maintenance method of substrate processing apparatus, method for manufacturing semiconductor device, substrate processing apparatus, and storage medium capable of reading maintenance program of substrate processing apparatus
WO2019021465A1 (ja) * 2017-07-28 2019-01-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
JP2020133004A (ja) * 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111755359B (zh) * 2019-03-26 2024-04-12 株式会社国际电气 基板处理装置、反应管以及半导体装置的制造方法
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
TW202232639A (zh) * 2020-12-18 2022-08-16 荷蘭商Asm Ip私人控股有限公司 具有可旋轉台的晶圓處理設備

Also Published As

Publication number Publication date
US11915960B2 (en) 2024-02-27
KR20210016282A (ko) 2021-02-15
TW202120403A (zh) 2021-06-01
US20210035841A1 (en) 2021-02-04
JP2021027352A (ja) 2021-02-22

Similar Documents

Publication Publication Date Title
CN112309935A (zh) 立式批处理炉组件
US11227782B2 (en) Vertical batch furnace assembly
US11515188B2 (en) Wafer boat handling device, vertical batch furnace and method
US11587815B2 (en) Vertical batch furnace assembly
US11587814B2 (en) Vertical batch furnace assembly
US12009241B2 (en) Vertical batch furnace assembly with detector to detect cassette
EP3647231B1 (en) Transport vehicle and transport facility
US20200168485A1 (en) Substrate processing apparatus for processing substrates
US11798830B2 (en) Fast FOUP swapping with a FOUP handler
KR20200043909A (ko) 기판 처리 장치 및 기판 처리 방법
US20070057322A1 (en) Substrate carrier having reduced height
JP6134174B2 (ja) 磁気アニール装置
US20210134636A1 (en) Substrate processing apparatus and substrate receptacle storage method
KR20100113625A (ko) 베어 스토커용 자동 취급 버퍼

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination