KR20210016282A - 수직 배치 퍼니스 조립체 - Google Patents

수직 배치 퍼니스 조립체 Download PDF

Info

Publication number
KR20210016282A
KR20210016282A KR1020200095302A KR20200095302A KR20210016282A KR 20210016282 A KR20210016282 A KR 20210016282A KR 1020200095302 A KR1020200095302 A KR 1020200095302A KR 20200095302 A KR20200095302 A KR 20200095302A KR 20210016282 A KR20210016282 A KR 20210016282A
Authority
KR
South Korea
Prior art keywords
wafer
cassette
wafer boat
transport
boat
Prior art date
Application number
KR1020200095302A
Other languages
English (en)
Inventor
예룬 플라위트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210016282A publication Critical patent/KR20210016282A/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D15/00Handling or treating discharged material; Supports or receiving chambers therefor
    • F27D15/02Cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/045Storage devices mechanical in a circular arrangement, e.g. towers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/0084Charging; Manipulation of SC or SC wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D3/12Travelling or movable supports or containers for the charge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D2003/0034Means for moving, conveying, transporting the charge in the furnace or in the charging facilities
    • F27D2003/0051Means for moving, conveying, transporting the charge in the furnace or in the charging facilities comprising means to pick up the charge and put it down
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D3/00Charging; Discharging; Manipulation of charge
    • F27D2003/0085Movement of the container or support of the charge in the furnace or in the charging facilities
    • F27D2003/0087Rotation about a vertical axis

Abstract

카세트 핸들링 공간, 웨이퍼 핸들링 공간, 및 상기 카세트 핸들링 공간과 상기 웨이퍼 핸들링 공간을 분리하는 내부 벽을 포함하는 웨이퍼들을 가공하기 위한 수직 배치 퍼니스 조립체. 상기 카세트 핸들링 공간은 복수의 웨이퍼 카세트들을 저장하도록 구성된 카세트 스토리지를 구비한다. 상기 카세트 핸들링 공간은 또한 상기 카세트 스토리지와 웨이퍼 운반 위치 사이에서 웨이퍼 카세트들을 운반하도록 구성된 카세트 핸들러를 구비한다. 상기 웨이퍼 핸들링 공간은 상기 웨이퍼 운반 위치의 웨이퍼 카세트와 웨이퍼 보트 사이에서 웨이퍼들을 운반하도록 구성된 웨이퍼 핸들러를 구비한다. 상기 내부 벽은 웨이퍼들이 운반되는 상기 웨이퍼 카세트를 위한 웨이퍼 운반 위치에 인접한 웨이퍼 운반 개구를 구비한다. 상기 카세트 스토리지는 1.1 내지 1.6 미터 사이의 직경을 가지는 카세트 스토리지 캐러셀을 포함한다.

Description

수직 배치 퍼니스 조립체{Vertical batch furnace assembly}
본 개시는 개괄적으로 웨이퍼들을 가공하기 위한 수직 배치 퍼니스 조립체에 관한 것이다.
수직 배치 퍼니스 조립체들은 복수의 웨이퍼 카세트들을 저장하기 위한 카세트 스토리지를 구비한 카세트(cassette) 핸들링 공간을 포함할 수 있다. 상기 카세트 스토리지는 카세트 스토리지 캐러셀(carousel) 및 관련된 카세트 핸들러를 포함할 수 있다. 상기 카세트 핸들러는 상기 관련된 카세트 스토리지 캐러셀의 플랫폼 스테이지 상에 카세트를 놓을 수 있다. 상기 카세트 스토리지 캐러셀 내의 플랫폼 스테이지들의 수, 및 하나의 플랫폼 스테이지 상에 저장될 수 있는 웨이퍼 카세트들의 수는 설계에 따라 다를 수 있다.
본 개시는 수직 배치 퍼니스 조립체를 제공하기 위한 것이다.
이 요약은 선택된 개념들을 간략화된 형태로 소개하기 위해 제공된다. 이러한 개념들은 아래에서 본 개시의 예시적인 실시예들의 상세한 설명에서 더 상세히 설명된다. 이 요약은 청구된 주제의 주요 특징들 또는 필수적인 특징들을 식별하고자 의도되지 않으며 또한 청구된 주제의 범위를 제한하도록 사용되도록 의도되지도 않는다.
향상된 저장 용량을 가지는 수직 배치 퍼니스 조립체를 제공하는 것이 목적일 수 있다.
이를 위해, 수직 배치 퍼니스 조립체가 제공될 수 있다. 보다 구체적으로, 웨이퍼들을 가공하기 위한 수직 배치 퍼니스 조립체가 제공될 수 있다.
상기 수직 배치 퍼니스 조립체는 카세트 핸들링 공간을 포함할 수 있다. 상기 카세트 핸들링 공간은 복수의 웨이퍼들을 구비한 복수의 웨이퍼 카세트들을 저장하도록 구성된 카세트 스토리지를 구비할 수 있다. 상기 카세트 핸들링 공간은 상기 카세트 스토리지와 웨이퍼 운반 위치 사이에서 웨이퍼 카세트들을 운반하도록 구성된 카세트 핸들러를 구비할 수 있다. 상기 수직 배치 퍼니스 조립체는 웨이퍼 핸들링 공간을 포함할 수 있다. 상기 웨이퍼 핸들링 공간은 상기 웨이퍼 운반 위치 의 웨이퍼 카세트와 웨이퍼 보트 운반 위치의 웨이퍼 보트 사이에서 웨이퍼들을 운반하도록 구성된 웨이퍼 핸들러를 구비할 수 있다. 상기 수직 배치 퍼니스 조립체는 상기 카세트 핸들링 공간과 상기 웨이퍼 핸들링 공간을 분리하는 내부 벽을 포함할 수 있다. 상기 내부 벽은 웨이퍼 카세트에 관한 상기 웨이퍼 운반 위치에 인접한 웨이퍼 운반 개구를 구비할 수 있으며, 여기로 또는 여기로부터 웨이퍼들이 운반된다. 상기 카세트 스토리지는 1.1 내지 1.6 미터 사이의 직경을 가지는 카세트 스토리지 캐러셀을 포함할 수 있다.
1.1 내지 1.6 미터 사이의 직경을 가지는 카세트 스토리지 캐러셀을 가지는 것의 장점은 상기 카세트 스토리지 캐러셀이 툴의 폭 전체에 걸쳐 연장될 수 있으며 이로써 많은 수의 카세트들을 수용할 수 있다는 것이다.
본 발명 및 선행 기술 대비 달성되는 장점들을 요약하기 위한 목적으로, 본 발명의 특정 목적들 및 장점들이 위에서 설명되었다. 물론, 반드시 모든 이러한 목적들 또는 장점들이 본 개시의 임의의 특정 실시예에 따라 달성될 필요는 없다는 것이 이해될 것이다. 따라서, 예를 들어, 당업계의 통상의 기술자들은 본 명세서에 교시 또는 제시되는 다른 목적들 또는 장점들을 반드시 달성할 필요 없이 본 명세서에 교시 또는 제시된 하나의 장점 또는 일 군의 장점들을 달성 또는 최적화하는 방식으로 본 발명이 실시되거나 수행될 수 있다는 것을 인식할 수 있다.
다양한 실시예들이 종속항들에 청구되며, 이는 도면들에 도시된 예들을 참조하여 더 설명될 것이다. 이러한 실시예들은 서로 결합되거나 분리되어 적용될 수 있다.
이러한 실시예들 모두는 본 명세서에 개시된 발명의 범위 내인 것으로 의도된다. 첨부된 도면들에 대한 참조를 가지는 특정 실시예들의 다음의 상세한 설명으로부터 이러한 및 다른 실시예들이 당업계의 통상의 기술자에게 쉽게 명백해질 것이며, 본 발명은 개시된 임의의 특정 실시예(들)에 제한되지 않는다.
본 명세서는 무엇이 본 발명의 실시예들로서 간주되는지를 구체적으로 지시하며 명백하게 청구하는 청구항들로 결론을 맺으나, 본 개시의 실시예들의 장점들은 첨부된 도면들과 함께 읽혀질 때 본 개시의 실시예들의 특정 예들의 설명으로부터 보다 쉽게 파악될 수 있다.
도 1은 수직 배치 퍼니스 조립체의 예의 개략적인 평면도를 도시한다.
도 2는 도 1, 도 3, 및 도 4의 선 Ⅱ를 따른 도 1의 예의 개략적인 단면도를 도시한다.
도 3은 도 2 및 도 4의 선 Ⅲ을 따른 도 1의 예의 개략적인 단면 평면도를 도시한다.
도 4는 도 1, 도 2, 및 도 3 내의 선 Ⅳ를 따른 도 1의 예의 개략적인 단면도를 도시한다.
이 출원에서 유사하거나 대응하는 특징부들은 유사하거나 대응하는 참조 부호들에 의해 표시된다. 다양한 실시예들의 설명은 도면들에 도시된 예들로 제한되지 않으며 상세한 설명에 사용된 참조 번호들 및 청구항들은 실시예들의 설명을 제한하려는 의도가 아니라, 도면들에 도시된 예들을 참조함으로써 실시예들을 설명하기 위해 포함된다.
특정 실시예들 및 예들이 아래 개시되나, 본 발명은 구체적으로 개시된 실시예들 및/또는 본 발명의 용도들 및 그 자명한 변형들 및 균등물들을 넘어 확장된다는 것이 당업계의 통상의 기술자들에 의해 이해될 것이다. 따라서, 개시된 발명의 범위는 아래 설명된 특정한 개시된 실시예들에 의해 제한되지 않도록 의도된다. 본 명세서에 제시된 도면들은 특정 물질, 구조, 또는 장치의 실제 모습들을 의미하지 않으며, 본 개시의 실시예들을 설명하는데 사용되는 단순히 이상화된 표현들이다.
본 명세서에 사용되는 바와 같이, 용어 "웨이퍼"는 사용될 수 있거나 또는 그 상에 장치, 회로, 또는 막이 형성될 수 있는 임의의 하부 물질 또는 물질들을 지칭할 수 있다.
가장 개괄적인 용어로, 본 개시는 웨이퍼들을 가공하기 위한 수직 배치 퍼니스 조립체(10)를 제공하며, 그 예들이 도 1 내지 도 4에 도시된다. 상기 수직 배치 퍼니스 조립체(10)는 카세트 핸들링 공간(26)을 포함할 수 있다. 상기 카세트 핸들링 공간(26)은 복수의 웨이퍼들을 구비한 복수의 웨이퍼 카세트들(16)을 저장하도록 구성된 카세트 스토리지를 구비할 수 있다. 상기 카세트 핸들링 공간(26)은 웨이퍼 카세트들(16)을 상기 카세트 스토리지와 웨이퍼 운반 위치(24) 사이에서 운반하도록 구성된 카세트 핸들러(28)를 구비할 수 있다. 상기 수직 배치 퍼니스 조립체(10)는 웨이퍼 핸들링 공간(30)을 포함할 수 있다. 상기 웨이퍼 핸들링 공간(30)은 상기 웨이퍼 운반 위치(24)의 웨이퍼 카세트(16)와 웨이퍼 보트 운반 위치(34)의 웨이퍼 보트(12) 사이에서 웨이퍼들을 운반하도록 구성된 웨이퍼 핸들러(32)를 구비할 수 있다.
상기 수직 배치 퍼니스 조립체(10)는 상기 카세트 핸들링 공간(26)과 상기 웨이퍼 핸들링 공간(30)을 분리하는 내부 벽(20)을 포함할 수 있다. 상기 내부 벽(20)은 웨이퍼들이 운반되는 웨이퍼 카세트들(16)을 위한 상기 웨이퍼 운반 위치(24)에 인접한 웨이퍼 운반 개구(22)를 구비할 수 있다. 상기 카세트 스토리지는 1.1 내지 1.6 미터 사이의 직경을 가지는 카세트 스토리지 캐러셀(18)을 포함할 수 있다.
상기 수직 배치 퍼니스 조립체(10)의 효과들 및 장점들이 과제의 해결 수단 부분에서 설명되었으며, 이러한 효과들 및 장점들이 참조에 의해 여기에 삽입된다.
일 실시예에서 상기 카세트 스토리지 캐러셀(18)은 적어도 하나의 플랫폼 스테이지(70)를 가질 수 있다. 상기 카세트 스토리지는 플랫폼 스테이지(70) 당 5 내지 8개의 웨이퍼 카세트들(16)의 저장 용량을 가질 수 있다. 예를 들어, 상기 카세트 스토리지 캐러셀(18)은 플랫폼 스테이지(70) 당 5개의 웨이퍼 카세트(19)의 저장 용량을 가지도록 약 1.1 내지 1.2 미터의 직경을 가질 수 있다. 다른 예에서 상기 카세트 저장 캐러셀(18)은 플랫폼 스테이지(70) 당 6개의 웨이퍼 카세트들(16)의 저장 용량을 가지도록 약 1.3 내지 1.5 미터의 직경을 가질 수 있다. 또는 도 7에 도시된 바와 같이 상기 카세트 스토리지 캐러셀(18)은 플랫폼 스테이지(70) 당 약 8개의 웨이퍼 카세트들(16)의 저장 용량을 가지도록 약 1.4 내지 1.6 미터의 직경을 가질 수 있으며, 그 중 4개의 웨이퍼 카세트들(16)이 도시된다.
일 실시예에서 상기 수직 배치 퍼니스 조립체(10)는 상기 수직 배치 퍼니스 조립체(10)의 폭(36)을 정의하는 두 대향하는 짧은 변들을 가지고 상기 수직 배치 퍼니스 조립체(10)의 길이(38)를 정의하는 두 대향하는 긴 변들을 가지는 실질적으로 직사각형인 풋프린트를 구비할 수 있다. 상기 수직 배치 퍼니스 조립체(10)의 폭(36)은 1.65 미터 이하일 수 있다.
실질적으로 직사각형인 풋프린트를 가짐으로써, 다수의 수직 배치 퍼니스 조립체(10)가 서로 인접하게 배치될 수 있으며, 따라서 이용 가능한 바닥 면적의 최적 사용을 가능하게 한다. 직사각형 풋프린트를 가지는 인접한 수직 배치 퍼니스 조립체들은 그들 사이에 사용되지 않는 공간을 가지지 않을 수 있다. 1.65 미터 이하의 폭(36)은 다른 제조사들/브랜드들의 수직 배치 퍼니스 조립체들의 폭과 상호교환가능성 측면에서 이롭다.
일 실시예에서 상기 수직 배치 퍼니스 조립체(10)는 웨이퍼 보트(120) 내에 수용된 웨이퍼들을 가공하기 위한 제1 공정 챔버(56)를 더 포함할 수 있다. 상기 수직 배치 퍼니스 조립체(10)는 웨이퍼 보트(12) 내에 수용된 웨이퍼들을 가공하기 위한 제2 공정 챔버(58)를 더 포함할 수 있다. 상기 수직 배치 퍼니스 조립체(10)는 하나의 웨이퍼 보트 핸들링 장치(60)를 더 포함할 수 있다.
상기 웨이퍼 보트 핸들링 장치(60)는 각각이 웨이퍼 보트(12)를 지지하기 위하여 구성된 복수의 웨이퍼 보트 지지 표면들(74)을 가지는 하나의 회전 가능한 테이블(72)을 포함할 수 있다. 상기 회전 가능한 테이블(72)은 중심의 실질적으로 수직한 축(76)을 중심으로 액츄에이터에 의해 회전 가능할 수 있다. 상기 회전 가능한 테이블(72)은 각각의 웨이퍼 보트 지지 표면(74)을 제1 웨이퍼 보트 로드/수용 위치(62)로 운반하도록 회전 가능할 수 있으며, 상기 제1 웨이퍼 보트 로드/수용 위치(62)에서 상기 웨이퍼 보트 핸들링 장치(60)가 상기 회전 가능한 테이블(72)로부터 상기 제1 공정 챔버(56) 내로 수직으로 웨이퍼 보트(12)를 로드하고 상기 제1 공정 챔버(56)로부터 상기 회전 가능한 테이블(72) 상으로 상기 웨이퍼 보트(12)를 수용하도록 구성된다. 상기 회전 가능한 테이블(72)은 또한 각각의 웨이퍼 보트 지지 표면(74)을 제2 웨이퍼 보트 로드/수용 위치(64)로 운반하도록 회전 가능할 수 있으며, 제2 웨이퍼 보트 로드/수용 위치(64)에서 상기 웨이퍼 보트 핸들링 장치(60)는 상기 웨이퍼 보트(12)를 수직으로 상기 회전 가능한 테이블(72)로부터 상기 제2 공정 챔버(58) 내로 로드하고 상기 웨이퍼 보트(12)를 상기 제2 공정 챔버(58)로부터 상기 회전 가능한 테이블(72) 상으로 수용하도록 구성된다. 상기 웨이퍼 보트 핸들랑 장치(60)는 상기 제2 웨이퍼 보트 로드/수용 위치(64)와 상기 제2 공정 챔버(58) 사이에서 또한 웨이퍼 보트(12)를 운반하도록 구성된 제2 웨이퍼 보트 리프트 조립체(78')를 포함할 수 있다. 상기 회전 가능한 테이블(72)은 각각의 웨이퍼 보트 지지 표면(74)을 냉각 위치(80)로 운반하도록 더 회전가능할 수 있으며, 상기 냉각 위치(80)에서 상기 웨이퍼 보트 핸들링 장치(60)는 상기 웨이퍼 보트(12)를 냉각시키도록 구성된다. 상기 회전 가능한 테이블(72)은 또한 각각의 웨이퍼 보트 지지 표면(74)을 중간 위치(82)로 운반하도록 회전 가능할 수 있다. 보트 운반 매커니즘(84)은 상기 중간 위치(82)에 있는 웨이퍼 보트 지지 표면(74) 상에 위치한 웨이퍼 보트(12)를 상기 보트 운반 위치(34)로 및 그 반대로 운반하도록 제공될 수 있다.
상기 하나의 웨이퍼 보트 핸들링 장치(60)는 따라서 웨이퍼 보트(12)를 상기 제1 공정 챔버(56) 및 상기 제2 공정 챔버(58) 둘 모두로 로드하고 상기 웨이퍼 보트(12)를 상기 제1 공정 챔버(56) 및 상기 제2 공정 챔버(58) 둘 모두로부터 수용하도록 구성될 수 있다. 이러한 구성으로 인해, 상기 수직 배치 퍼니스 조립체(10)는 상기 웨이퍼 보트 핸들링 장치(60)의 사용과 함께 상기 제1 공정 챔버(56) 및 상기 제2 공정 챔버(58)로(부터) 상기 웨이퍼 보트(12)를 로드/수용할 수 있다. 상기 수직 배치 퍼니스 조립체(10)는 따라서 상기 제2 공정 챔버(58)로(부터) 웨이퍼 보트(12)을 로드/수용하기 위한 제2 웨이퍼 보트 핸들링 장치(60)를 필요로하지 않는다. 이러한 제2 웨이퍼 보트 핸들링 장치(60)를 수용할 필요가 없는 공간은 수직 배치 퍼니스 조립체(10)의 폭(36)을 감소시키는데 사용될 수 있다.
일 실시예에서, 상기 수직 배치 퍼니스 조립체(10)는 적어도 하나의 카세트인-아웃 포트(48)를 더 포함할 수 있다. 상기 적어도 하나의 카세트 인-아웃 포트(48)는 상기 수직 배치 퍼니스 조립체(10)와 외부 세계 사이의 웨이퍼 카세트들(16)의 교환을 위한 상기 수직 배치 퍼니스 조립체(10)로(부터)의 입구 및 출구를 제공한다. 상기 적어도 하나의 카세트 입-출구 포트(48)는 상기 카세트 핸들링 공간(26)을 둘러싸는 벽(84)에 제공될 수 있다. 상기 벽(84)은 상기 수직 배치 퍼니스 조립체(10)의 실질적으로 직사각형인 풋프린트의 짧은 변에 있거나 그 근처에 있을 수 있다. 상기 카세트 핸들러(28)는 웨이퍼 카세트(16)를 상기 카세트 스토리지, 상기 웨이퍼 운반 위치(24), 및 상기 적어도 하나의 카세트 인-아웃 포트(48) 사이에서 운반하도록 구성될 수 있다.
이러한 카세트 핸들러(28)는 상기 카세트 핸들링 공간(26) 내의 모든 웨이퍼 카세트 운반들을 핸들링할 수 있다. 이는 웨이퍼 카세트들을 운반하기 위한 효율적이고 비용-효과적인 방법을 제공할 수 있다.
일 실시예에서, 상기 카세트 핸들러(28)는 상기 카세트 스토리지 내의 상이한 수직 높이들에 위치하는 웨이퍼 카세트들(16)에 도달하도록 구성된 카세트 핸들러 팔(50), 및 엘리베이터 메커니즘(52)을 구비할 수 있다.
부분적으로 첨부된 도면들을 참조하여 본 발명의 예시적인 실시예들이 위에서 설명되었으나, 본 발명이 이러한 실시예들에 제한되지 않는다는 것이 이해될 것이다. 개시된 실시예들에 대한 변형들이 도면들, 개시, 및 첨부된 청구항들에 대한 연구로부터 청구된 발명을 실시함에 있어 당업계의 통상의 기술자들에 의해 이해되고 이루어질 수 있다.
본 명세서에 걸쳐 "하나의 실시예" 또는 "실시예"에 대한 참조는 그 실시예와 관련하여 설명된 특정한 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 이 명세서에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구들의 출현들은 반드시 모두 동일한 실시예를 참조하지 않는다.
또한, 위에서 설명된 다양한 실시예들 중 하나 이상의 특정한 특징들, 구조들, 또는 특성들이 서로로부터 독립적으로 사용되며 실시될 수 있으며 새로운, 명시적으로 설명되지 않은 실시예들을 형성하도록 임의의 적합한 방식으로 결합될 수 있다는 것이 주의된다. 상세한 설명 및 청구항들에 사용된 참조 번호들은 실시예들의 설명을 제한하지 않으며 청구항들을 제한하지 않는다. 참조 번호들은 오직 명확히하기 위해 사용될 수 있다.
10- 수직 배치 퍼니스 조립체
12- 웨이퍼 보트
16- 웨이퍼 카세트
18- 카세트 스토리지 캐러셀
20- 내부 벽
22- 웨이퍼 운반 개구
24- 웨이퍼 운반 위치
26- 카세트 핸들링 공간
28- 카세트 핸들러
30-웨이퍼 핸들링 공간
32- 웨이퍼 핸들러
34- 웨이퍼 보트 운반 위치
36- 폭(수직 배치 퍼니스 조립체의)
38- 길이(수직 배치 퍼니스 조립체의)
48- 카세트 인-아웃 포트
50- 카세트 핸들러 팔
52- 엘리베이터 메커니즘
56- 제1 공정 챔버
58- 제2 공정 챔버
60- 웨이퍼 보트 핸들링 장치
62- 제1 웨이퍼 보트 로드/수용 위치
64-제2 웨이퍼 보트 로드/수용 위치
70- 플랫폼 스테이지
72- 회전 가능한 테이블
74- 웨이퍼 보트 지지 표면
76- 중심 축
78 및 78'- 웨이퍼 보트 리프트 조립체들
80- 냉각 위치
82- 중간 위치
84- 웨이퍼 보트 운반 메커니즘

Claims (13)

  1. 웨이퍼들을 가공하기 위한 수직 배치(batch) 퍼니스 조립체(10)로서,
    복수의 웨이퍼들을 구비한 복수의 웨이퍼 카세트들(cassettes)(16)을 저장하도록 구성된 카세트 스토리지 및 상기 카세트 스토리지와 웨이퍼 운반 위치(24) 사이에서 웨이퍼 카세트들(16)을 운반하도록 구성된 카세트 핸들러(28)를 구비한 카세트 핸들링 공간(26);
    상기 웨이퍼 운반 위치(24)의 웨이퍼 카세트(16)와 웨이퍼 보트 운반 위치(34)의 웨이퍼 보트(12) 사이에서 웨이퍼들을 운반하도록 구성된 웨이퍼 핸들러(32)를 구비한 웨이퍼 핸들링 공간(30); 및
    상기 카세트 핸들링 공간(26)과 상기 웨이퍼 핸들링 공간(30)을 분리하고 웨이퍼들이 그로(부터) 운반되는 웨이퍼 카세트(16)를 위한 상기 웨이퍼 운반 위치(24)에 인접한 웨이퍼 운반 개구(22)를 구비한 내부 벽(20)을 포함하고,
    상기 카세트 스토리지는 1.1 내지 1.6 미터 사이의 직경을 가지는 카세트 스토리지 캐러셀(carousel)(18)을 포함하는 수직 배치 퍼니스 조립체.
  2. 제1 항에 있어서,
    상기 수직 배치 퍼니스 조립체(10)의 폭(36)을 정의하는 두 대향하는 짧은 변들을 가지고 상기 수직 배치 퍼니스 조립체(10)의 길이(38)를 정의하는 두 대향하는 긴 변들을 가지는 실질적으로 직사각형인 풋프린트(footprint)를 구비하고,
    상기 수직 배치 퍼니스 조립체(10)의 상기 폭(36)은 1.65 미터 이하인 수직 배치 퍼니스 조립체.
  3. 제1 항 또는 제2 항에 있어서,
    상기 카세트 스토리지 캐러셀(18)은 적어도 하나의 플랫폼 스테이지(70)를 가지며 플랫폼 스테이지(70) 당 5 내지 8개의 웨이퍼 카세트들(16)의 저장 용량을 가지는 수직 배치 퍼니스 조립체.
  4. 제3 항에 있어서,
    상기 카세트 스토리지 캐러셀(18)은 약 1.1 내지 1.2 미터의 직경 및 플랫폼 스테이지(70) 당 5개의 웨이퍼 카세트들(16)의 저장 용량을 가지는 수직 배치 퍼니스 조립체.
  5. 제3 항에 있어서,
    상기 카세트 스토리지 캐러셀(18)은 약 1.3 내지 1.5 미터의 직경 및 플랫폼 스테이지(70) 당 6개의 웨이퍼 카세트들(16)의 저장 용량을 가지는 수직 배치 퍼니스 조립체.
  6. 제3 항에 있어서,
    상기 카세트 스토리지 캐러셀(18)은 약 1.4 내지 1.6 미터의 직경 및 플랫폼 스테이지(70) 당 8개의 웨이퍼 카세트들(16)의 저장 용량을 가지는 수직 배치 퍼니스 조립체.
  7. 제1 항 내지 제6항 중 어느 하나에 있어서,
    웨이퍼 보트(12) 내에 수용된 웨이퍼들을 가공하기 위한 제1 공정 챔버(56);
    웨이퍼 보트(12) 내에 수용된 웨이퍼들을 가공하기 위한 제2 공정 챔버(58); 및
    각각 웨이퍼 보트(12)를 지지하기 위하여 구성된 복수의 웨이퍼 보트 지지 표면들(74)을 가지는 하나의 회전 가능한 테이블(72)을 포함하는 단일한 웨이퍼 보트 핸들링 장치(60)를 더 포함하고,
    상기 회전 가능한 테이블(72)은,
    상기 웨이퍼 보트 핸들링 장치(60)가 상기 회전 가능한 테이블(72)로부터 상기 제1 공정 챔버(56) 내로 수직으로 웨이퍼 보트(12)를 로드하고 상기 제1 공정 챔버(56)로부터 상기 회전 가능한 테이블(72) 상으로 상기 웨이퍼 보트(12)를 수용하도록 구성된 제1 웨이퍼 보트 로드/수용 위치(62); 및
    상기 웨이퍼 보트 핸들링 장치(60)가 상기 회전 가능한 테이블(72)로부터 상기 제2 공정 챔버(58) 내로 수직으로 상기 웨이퍼 보트(12)를 로드하고 상기 제2 공정 챔버(58)로부터 상기 회전 가능한 테이블(72) 상으로 상기 웨이퍼 보트(12)를 수용하도록 구성된 제2 웨이퍼 보트 로드/수용 위치(64)로
    각각의 웨이퍼 보트 지지 표면(74)을 운반하도록 중심의 실질적으로 수직한 축(76) 주위로 액츄에이터에 의해 회전 가능한 수직 배치 퍼니스 조립체.
  8. 제7 항에 있어서,
    상기 웨이퍼 보트 핸들링 장치(60)는 상기 제1 웨이퍼 보트 로드/수용 위치(62)와 상기 제1 공정 챔버(56) 사이에서 웨이퍼 보트(12)를 운반하도록 구성된 제1 웨이퍼 보트 리프트 조립체(78)를 포함하는 수직 배치 퍼니스 조립체.
  9. 제7 항 또는 제8 항에 있어서,
    상기 웨이퍼 보트 핸들링 장치(60)는 상기 제2 웨이퍼 보트 로드/수용 위치(64)와 상기 제2 공정 챔버(58) 사이에서 웨이퍼 보트(12)를 운반하도록 구성된 제2 웨이퍼 보트 리프트 조립체(78)를 포함하는 수직 배치 퍼니스 조립체.
  10. 제7 항 내지 제9 항 중 어느 하나에 있어서,
    상기 회전 가능한 테이블(72)은 또한 상기 웨이퍼 보트 핸들링 장치(60)가 상기 웨이퍼 보트(12)를 냉각시키도록 구성된 냉각 위치(80)로 각각의 웨이퍼 보트 지지 표면(74)을 운반하도록 회전 가능한 수직 배치 퍼니스 조립체.
  11. 제7 항 내지 제10 항 중 어느 하나에 있어서,
    상기 회전 가능한 테이블(72)은 또한 중간 웨이퍼 보트 위치(82)로 각각의 웨이퍼 보트 지지 표면(74)을 운반하도록 회전 가능하며,
    상기 수직 배치 퍼니스 조립체는 또한 상기 중간 위치(82)에 있는 웨이퍼 보트 지지 표면(74) 상에 있는 웨이퍼 보트(12)를 상기 보트 운반 위치(34)로 및 그 반대로 운반하도록 구성된 보트 운반 메커니즘(84)을 포함하는 수직 배치 퍼니스 조립체.
  12. 제1 항 내지 제11 항 중 어느 하나에 있어서,
    상기 카세트 핸들링 공간(26)을 정의하는 벽(84)에 구비된 적어도 하나의 카세트 인-아웃 포트(48)를 더 포함하고,
    상기 카세트 핸들러(28)는 상기 카세트 스토리지, 상기 웨이퍼 운반 위치(24)와 상기 적어도 하나의 카세트 인-아웃 포트(48) 사이에서 웨이퍼 카세트들(16)을 운반하도록 구성되는 수직 배치 퍼니스 조립체.
  13. 제1 항 내지 제12 항 중 어느 하나에 있어서,
    상기 카세트 핸들러(28)는 카세트 핸들러 팔(50), 및 상기 카세트 스토리지 내의 상이한 수직 높이들에 있는 웨이퍼 카세트들(16)에 도달하도록 구성된 엘리베이트 메커니즘(52)을 구비하는 수직 배치 퍼니스 조립체.
KR1020200095302A 2019-07-31 2020-07-30 수직 배치 퍼니스 조립체 KR20210016282A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962880946P 2019-07-31 2019-07-31
US62/880,946 2019-07-31

Publications (1)

Publication Number Publication Date
KR20210016282A true KR20210016282A (ko) 2021-02-15

Family

ID=74258374

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200095302A KR20210016282A (ko) 2019-07-31 2020-07-30 수직 배치 퍼니스 조립체

Country Status (5)

Country Link
US (1) US11915960B2 (ko)
JP (1) JP2021027352A (ko)
KR (1) KR20210016282A (ko)
CN (1) CN112309935A (ko)
TW (1) TW202120403A (ko)

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11862490B2 (en) * 2021-07-28 2024-01-02 Changxin Memory Technologies, Inc. Diffusion furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114975209B (zh) * 2022-07-22 2022-11-01 智程半导体设备科技(昆山)有限公司 一种半导体晶圆清洗机上料设备

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
JP2003007800A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR101772546B1 (ko) * 2012-05-28 2017-08-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 온도 계측 시스템, 처리 장치의 온도 계측 방법, 반송 장치 및 기록 매체
US9146551B2 (en) * 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
WO2015030047A1 (ja) * 2013-08-27 2015-03-05 株式会社日立国際電気 基板処理装置のメンテナンス方法、半導体装置の製造方法、基板処理装置、及び基板処理装置のメンテナンスプログラムを読取可能な記録媒体
WO2019021465A1 (ja) * 2017-07-28 2019-01-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
TW202100794A (zh) * 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN111755359B (zh) * 2019-03-26 2024-04-12 株式会社国际电气 基板处理装置、反应管以及半导体装置的制造方法
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
TW202232639A (zh) * 2020-12-18 2022-08-16 荷蘭商Asm Ip私人控股有限公司 具有可旋轉台的晶圓處理設備

Also Published As

Publication number Publication date
US20210035841A1 (en) 2021-02-04
US11915960B2 (en) 2024-02-27
TW202120403A (zh) 2021-06-01
CN112309935A (zh) 2021-02-02
JP2021027352A (ja) 2021-02-22

Similar Documents

Publication Publication Date Title
KR20210016282A (ko) 수직 배치 퍼니스 조립체
US11227782B2 (en) Vertical batch furnace assembly
US11515188B2 (en) Wafer boat handling device, vertical batch furnace and method
KR20210016283A (ko) 수직 배치 퍼니스 조립체
US11587815B2 (en) Vertical batch furnace assembly
US20200168485A1 (en) Substrate processing apparatus for processing substrates
US6607602B1 (en) Device for processing semiconductor wafers
US9299597B2 (en) Scalable stockers with automatic handling buffer
TWI767270B (zh) 晶圓盒暫存裝置及晶圓盒輸送系統
US20090196715A1 (en) Automatic handling buffer for bare stocker
JP2000124301A (ja) 容器載置ユニット、容器収納装置、及び処理装置
US11798830B2 (en) Fast FOUP swapping with a FOUP handler
CN109478527A (zh) 基片处理设备
KR20150089947A (ko) 기판 열 처리 장치, 기판 열 처리 장치의 설치 방법
JP2005317826A (ja) 縦収納型カセット及びそれを備えた基板収納システム
JP2015117073A (ja) 搬送システム
KR20210054992A (ko) 기판 처리 장치 및 기판 수납 용기 보관 방법
JP5090291B2 (ja) 基板処理装置
JPH03225847A (ja) ウエハカセツトストツカ
KR20100113625A (ko) 베어 스토커용 자동 취급 버퍼
TWM647561U (zh) 前開式晶圓傳送盒之多層儲存及裝載系統
JP2002270683A (ja) 半導体ウェハー用マガジンケース
JPH01321648A (ja) 半導体ウエハカセット収納装置
JPH0445511A (ja) 半導体ウェハ搬送装置