CN111192956A - 相变化随机存取记忆体元件的形成方法 - Google Patents

相变化随机存取记忆体元件的形成方法 Download PDF

Info

Publication number
CN111192956A
CN111192956A CN201911106563.8A CN201911106563A CN111192956A CN 111192956 A CN111192956 A CN 111192956A CN 201911106563 A CN201911106563 A CN 201911106563A CN 111192956 A CN111192956 A CN 111192956A
Authority
CN
China
Prior art keywords
layer
pce120
plasma
phase change
pce
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911106563.8A
Other languages
English (en)
Inventor
林毓超
涂元添
余绍铭
李东颖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111192956A publication Critical patent/CN111192956A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Holo Graphy (AREA)

Abstract

一种相变化随机存取记忆体(PCRAM)元件的形成方法包括:在底部电极上形成相变化元件并且在该相变化元件上形成顶部电极;在该相变化元件周围形成保护层;以及在形成该保护层之后,在该保护层周围形成含氮侧壁间隔物层。

Description

相变化随机存取记忆体元件的形成方法
技术领域
本申请是关于相变化随机存取记忆体。
背景技术
快闪记忆体是一种广泛使用的非挥发性记忆体。然而,快闪记忆体却预期会遇到尺寸微缩的困难。因此,正在探索替代类型的非挥发性记忆体。在这些替代类型的非挥发性记忆体中有相变化记忆体(phase change memory;PCM)。PCM是一种非挥发性记忆体,在该非挥发性记忆体中采用相变化元件的相位来表示数据的状态。PCM具有快速读写时间、非破坏性读取和高可微缩性等好处。
发明内容
在一些实施例中,一种方法,包括:在底部电极上形成相变化元件以及在该相变化元件上形成顶部电极;在该相变化元件周围形成保护层;以及在形成该保护层之后,在该保护层周围形成含氮侧壁间隔物层。
附图说明
当结合附图阅读时,从以下详细描述可以最好地理解本揭露的各态样。应注意,根据行业中的标准实践,各种特征未按比例绘制。实际上,为了论述的清楚性,可以任意地增大或缩小各种特征的尺寸。
图1图示根据本揭示的记忆体元件的一些实施例的剖视图;
图2图示了根据本揭示的一些实施例的如图1中的剖切线所示的图1的记忆体元件的俯视图;
图3图示了根据本揭示的图1的记忆体元件的一些替代实施例的剖视图;
图4图示了根据本揭示的包括图1的记忆体元件的集成电路(IC)的一些实施例的剖视图;
图5至图14图示了根据本揭示的形成记忆体元件的方法的一些实施例的剖视图;
图15A和图15B图示了根据一些实施例的形成记忆体元件的方法;
图16图示了根据本揭示的可用于制造记忆体元件的变压器耦合电浆(TCP)反应器的一些实施例。
【符号说明】
100a 记忆体元件
100b 记忆体元件
102 基板
104 晶体管
106 底部内连接中介窗
108 底部导电线
110 IMD层
112 介电层
114 底部电极
116 PCM单元
120 PCE
120' PCE
120s 外侧壁
120s' 侧壁
122 顶部电极
122' 顶部电极
122s 外侧壁
122s' 侧壁
124 硬遮罩
124' 硬遮罩
124s 外侧壁
124s' 侧壁
125 保护涂层
126 第一侧壁间隔物
128 第二侧壁间隔物
130 IMD层
132 导电中介窗
134 上部导电线
202 栅电极
204 栅极介电质
206 晶体管侧壁间隔物
208 源极/漏极区
300 集成电路
304 内连接结构
306 基板
308 浅沟槽隔离(STI)区
312 存取晶体管
320 存取栅极介电质
322 存取侧壁间隔物
324 源极/漏极区
402 相变化材料层
404 顶部电极层
406 硬遮罩层
408 有机遮罩层
408' 有机遮罩
410 上覆光阻剂
412 保护涂层
414 侧壁间隔物层
900 TCP反应器
910 处理腔室
d11 气体入口孔
920 含电浆区域
930 晶圆吸盘
940 晶圆
945 RF电源
950 微致动器
960 电源
965 扁平螺旋线圈
970 RF电源
980 介电板
991 x轴
992 y轴
993 z轴
A 散热路径
C 角度
CO 触点
D 角度
E 角度
ESL1 蚀刻终止层
ESL2 蚀刻终止层
ESL3 蚀刻终止层
IMD1 IMD层
IMD2 IMD层
IMD3 IMD层
IMD4 IMD层
IMD5 IMD层
M 方法
M1 金属化层
M2 金属化层
M3 金属化层
S11 方块
S12 方块
S13 方块
S14 方块
S15 方块
S16 方块
S17 方块
S18 方块
S19 方块
S20 方块
S21 方块
V1 中介窗
V2 中介窗
V3 中介窗
WT1 宽度
WT2 宽度
WB1 宽度
具体实施方式
以下揭露内容提供了用于实施所提供标的的不同特征的许多不同实施例或实例。以下描述了部件和布置的特定实例以简化本揭露内容。当然,这些仅仅是实例,而并且旨在为限制性的。例如,在以下描述中在第二特征上方或之上形成第一特征可以包括第一特征和第二特征形成为直接接触的实施例,并且亦可以包括可以在第一特征与第二特征之间形成额外特征,使得第一特征和第二特征可以不直接接触的实施例。另外,本揭露可以在各种实例中重复参考数字及/或字母。该重复是为了简单和清楚的目的,并且本身并不表示所论述的各种实施例及/或配置之间的关系。
此外,在此可以使用空间相对术语,诸如“下方”、“以下”、“下部”、“上方”、“上部”等来简化描述,以描述如图中所示的一个元件或特征与另一元件或特征的关系。除了图中所示的取向之外,空间相对术语旨在包括使用或操作中的装置/元件的不同取向。设备可以以其他方式取向(旋转90度或在其他方向上),并且可以类似地相应解释在此使用的空间相对描述词。
相变化记忆体(PCM)单元包括夹设在底部电极与顶部电极之间的相变化元件(phase change element;PCE)。在一些实施例中,PCE由硫属玻璃(chalcogenide glass)制成。硫属玻璃具有结晶态和非晶态,该结晶态和该非晶态具有截然不同的电阻值,使得PCE可以在结晶态与非晶态之间切换以对应于不同的数据状态。更具体地,在一些PCM单元的操作过程中,可以将PCE加热到比其熔点更高的温度(例如,高于摄氏600度),这样的温度会导致硫属玻璃失去其结晶性。随后可以将PCE快速冷却或“淬火”以使PCE“冷冻”于非晶的高电阻状态,该非晶高电阻状态可以例如对应于“0”数据状态。该操作可以称为PCM单元的「重置(reset)」操作。另一方面,通过将PCE加热至较低温度(例如,约摄氏100-150度),其中该较低温度高于PCE的结晶点但低于PCE的熔点,PCE将转变为低电阻结晶状态,该低电阻结晶状态可以例如对应于“1”状态。该操作可以称为PCM单元的“设置(set)”操作。
在制造该PCM单元的方法期间,在图案化的PCM上形成基于氮化物(nitride-based)的间隔物层(例如,SiN层或SiON层),接着将该基于氮化物的间隔物层图案化成会留在PCE单元的侧壁上的基于氮化物的侧壁间隔物。本揭示的各态样是启发自使用电浆沉积制程来沉积基于氮化物的间隔物层,此反应性电浆将在沉积期间与PCE的最外侧壁反应,从而导致PCE侧壁上的损伤(例如,空隙)。本揭示的各态样亦启发自使用电浆蚀刻制程来图案化基于氮化物的间隔物层,此蚀刻剂可攻击PCE的受损侧壁,继而会加剧PCE侧壁上的损伤(例如,扩大PCE侧壁上的空隙)。
因此,在本揭示的一些实施例中,在形成基于氮化物的间隔物层之前,在PCE的外侧壁上形成侧壁保护涂层。使用对PCE呈化学惰性的电浆来沉积保护涂层。在本文中使用的术语“化学惰性的”是指在电浆与暴露于电浆的材料之间不产生化学反应。因此,该沉积制程不会引起与PCE的化学反应,因此防止PCE的侧壁受损伤(例如,空隙)。此外,侧壁保护涂层可以在基于氮化物的间隔物层沉积期间阻挡PCE的侧壁与反应性电浆接触及/或在间隔物图案化制程期间阻挡PCE的侧壁与蚀刻剂接触。因此,可以减少PCE侧壁的损伤。
参考图1,提供了根据一些实施例的记忆体元件100a的剖视图。参考图2,提供了根据一些实施例的如图1中所示的剖视线所示的图1的记忆体元件100a的俯视图。
记忆体元件100a包括相变化记忆体(PCM)单元116。PCM单元116包括底部电极114、顶部电极122,以及在底部电极114与顶部电极122之间的相变化元件(PCE)120。底部电极114设置在介电层112内。PCE 120覆盖底部电极114。顶部电极122覆盖PCE 120。记忆体元件100a亦包括硬遮罩124、保护涂层(可互换地称为保护层)125、第一侧壁间隔物126和第二侧壁间隔物128。硬遮罩124覆盖顶部电极122。保护涂层125直接接触PCE 120的外侧壁120s、顶部电极122的外侧壁122s,以及硬遮罩124的外侧壁124s,但是与底部电极114分离。第一侧壁间隔物126直接接触保护涂层125的外侧壁。第二侧壁间隔物128具有:第一部分,该第一部分直接接触第一侧壁间隔物126的外侧壁并且从硬遮罩124的上表面连续延伸到介电层112的上表面;以及第二部分,该第二部分沿着介电层112的顶表面在远离底电极114的方向上从第一部分的底部延伸。在一些实施例中,保护涂层125由不同于第一侧壁间隔物126和第二侧壁间隔物128的材料构成。在一些实施例中,第一侧壁间隔物126由与第二侧壁间隔物128不同的材料构成。
PCM单元116设置在基板102上,金属间介电(inter-metal dielectric;IMD)层110设置在基板102上。覆盖底部内连接中介窗(via)106的底部导电线108将PCM单元116电耦合到晶体管104。在一些实施例中,底部导电线108和底部内连接中介窗106将PCM单元116电耦合到下面的金属层和/或电气部件,诸如电阻器、电容器和/或二极管。另一个IMD层130设置在PCM单元116上。导电中介窗132设置在顶部电极122上方,并且将顶部电极122连接到包括上部导电线134的上部金属层。导电中介窗132和上部导电线134位于IMD层130内,并且可以例如将顶部电极122电耦合到位元线(未图示)。
在一些实施例中,在PCM单元116的操作期间,PCM单元116根据从上部导电线134施加到底部导电线108的电压而在各状态之间变化。PCM单元116可以例如处于低电阻状态,在该低电阻状态下PCE 120处于结晶相。将PCE 120改变为结晶相(亦即,设定操作)可以例如通过使用通过PCE 120的电流产生的焦耳热将PCE 120加热到相对较低的温度(例如,高于PCE 120的结晶点但低于PCE 120的熔点)来执行。在设定操作中流过PCE 120的电流被称为设定电流Iset。另一方面,PCM单元116可以例如处于高电阻状态,在该高电阻状态下PCE 120处于非晶相。将PCE 120改变为非晶相(亦即,重置操作)可以例如通过使用通过PCE 120的另一电流产生的焦耳热将PCE 120加热到相对较高的温度(例如,高于PCE 120的熔点)来执行。在重置操作中流过PCE120的电流被称为重置电流Ireset
在一些实施例中,PCE 120的外侧壁120s不存在任何空隙。在一些实施例中,PCE120是从顶部电极122的下表面延伸到介电层112的上表面和底部电极114的上表面的连续层。PCE 120的外侧壁120s可以基本上与顶部电极122的外侧壁122s和硬遮罩124的外侧壁124s对准。保护涂层125被配置和/或形成以防止PCE 120与用于形成第一侧壁间隔物126的电浆反应。因此,第一侧壁间隔物126的形成不会在PCE 120的外侧壁120s上导致可观察到的损伤(例如,不会导致PCE 120的外侧壁120s上产生空隙)。在一些实施例中,保护涂层125亦被用以抑制从PCE 120到周围环境和/或从IMD层130到PCE 120的排气(outgassing),因为PCE 120的外侧壁120s与IMD层130由三层(例如,层125、126和128)而不是双层(例如,没有涂层125的双间隔物层126和128)分开。因此,可以减少由PCE 120的材料的排气产生的有毒气体,并且亦可以减少由来自IMD层130的氧化物材料的排气导致的不期望的对PCE 120的氧化。
图2的顶部视图对应于沿着图1中所示的剖视线使用成像工具(例如,SEM、TEM等)获得的图像。在一些实施例中,若当从上方观察时,PCM单元116是圆形/椭圆形的,则当从上方观察时保护涂层125是单个连续环状(亦即,环形)涂层(参见例如图2),因此当以剖视图描绘时,该单个连续环状涂层自然会在PCE 120的相对侧壁上。另外,若当从上方观察PCM单元116是圆形或椭圆形的,则与包括PCM单元116的层的剖视图相关联的任何长度和/或宽度分别对应于圆的直径或在椭圆的主轴上的两个顶点之间的长度。
在一些实施例中,PCE 120包含硫族化物材料,该硫族化物材料是包含VI族元素与IV族和/或V族元素的组合的合金。PCM层118可包含例如Ge2Sb2Te5(GST)、ScSbTe、GeTe、InSb、Sb2Te3、Sb70Te30、GaSb、InSbTe、GaSeTe、SnSbTe4、InSbGe、AgInSbTe、Te81Ge15Sb2S2、GeSbTe、SnSbTe、GeSbSe、GeSbTe等。
在一些实施例中,保护涂层125是基于碳的材料或基于碳氢的材料。此外,保护涂层125是不含氮的,因此可以在不使用含氮前驱物的情况下形成保护涂层125,从而防止在保护涂层125形成期间与PCE 120发生化学反应,如下所述。此外,保护涂层125是二元碳-氢化合物,其与三元碳-氢化合物相比,提供更高的与基于氮化物的间隔物126的粘附性。例如,保护涂层125可以是CHx二元化合物,其中x在2与4之间。在一些实施例中,保护涂层125的厚度可以在约10埃至约20埃的范围内。若保护涂层125的厚度大于约20埃,则它可能遭受膜与膜的粘附问题(例如,保护涂层125与基于氮化物的间隔物126之间的粘附性会降低),并且亦可能具有不令人满意的表面粗糙度。若保护涂层125的厚度小于约10埃,则对PCE120的侧壁保护可能不令人满意。
在一些实施例中,第一侧壁间隔物126是不含氧的,由此防止由含氧材料的排气(或脱气)引起的PCE 120的不期望的氧化。例如,第一侧壁间隔物126可以是或包含氮化硅(SiN或Si3N4)、碳化硅(SiC),或以低温(小于约摄氏250度)形成的其他合适的不含氧介电材料。在一些实施例中,第二侧壁间隔物128可以例如是或包含SiC、碳氧化硅(SiOC)等,或其组合。
在一些实施例中,顶部电极122可以例如是或包含铜(Cu)、铝铜合金(AlCu)、氮化钛(TiN)、氮化钽(TaN)、钛钨合金(TiW)、氮化钛钨(TiWN)、氮化钛钽(TITAN)、钨(W)等,或其组合。在一些实施例中,底部电极114可以例如是或者包含Cu、TiN、TiW、TiWN、TiTaN、TaN、W等,或其组合。在一些实施例中,硬遮罩124可以例如是或包含硅、氧化硅(SiO2)、氧氮化硅(SiON),氮化硅(SiN)、硅掺杂碳、碳等,或其组合。
在一些实施例中,导电中介窗132和上部导电线134可以例如分别是或包含Cu、AlCu、Al、TiN、TaN等,或其组合。在一些实施例中,介电层112可以是例如或包含SiN、SiC、SiON、SiOC等。在一些实施例中,IMD层130可以是例如或包含四乙基原硅酸盐(TEOS)(例如,电浆增强TEOS、低粒子TEOS等)、极低介电常数介电质等。
在一些实施例中,PCE 120具有梯形样形状,其中PCE 120的顶表面比PCE 120的底表面窄。更详细地,PCE 120的宽度随着距底部电极114的距离的增加而减小。以此方式,PCE120的顶表面的宽度WT1小于PCE 120的底表面的宽度WB1。PCE 120的顶表面和底表面可以由成角度的外侧壁120s连接。另外,顶部电极122和硬遮罩124可以呈现类似的梯形样形状。
梯形样的PCE 120可以减少热耗散路径,由此增大PCE 120中的热约束。热耗散路径是指底部电极114与PCE 120的外侧壁120s之间的距离。许多热耗散路径中的一个热耗散路径的实例由箭头A图示。通过减少热耗散路径和增大PCE 120中的热约束,PCRAM元件100a的重置电流Ireset和/或设定电流设定电流Iset下降。
在一些实施例中,PCE 120的底表面以角度C与PCE 120的外侧壁120s交接。为了达到足够的热约束以降低PCRAM元件100a的重置电流Ireset和/或设定电流设定电流Iset,角度C可为锐角。例如,在一些实施例中,为了实现足够的热约束以降低PCRAM元件100a的重置电流Ireset和/或设定电流设定电流Iset,角度C可以在约45度至约85度之间的范围内。
由于梯形样形状的天性,PCE 120的底表面的宽度WB1大于PCE 120的顶表面的宽度WT1。类似地,PCE 120的顶表面的宽度WT1大于顶部电极122的顶表面的宽度,并且顶部电极122的顶表面的宽度大于硬遮罩124的顶表面的宽度。在一些实施例中,PCE 120的底表面的宽度WB1、PCE 120的顶表面的宽度WT1和顶部电极122的顶表面的宽度在从约20纳米到约300纳米的范围内。
晶体管104包括栅电极202、栅极介电质204、晶体管侧壁间隔物206,以及源极/漏极区208。底部内连接中介窗106电耦合到晶体管104的源极/漏极区208。源极/漏极区208设置在栅电极202的任一侧的基板102内。此外,源极/漏极区208被掺杂以具有第一导电类型,该第一导电类型与基板102中和栅极介电质204下方的通道区的第二导电类型相反。栅电极202可以是例如掺杂的多晶硅或金属,诸如TaN、TiN、钨,或其组合。栅极介电质204可以是例如氧化物(例如,二氧化硅),或高介电常数(high-k)介电材料。晶体管侧壁间隔物206可以例如由氮化硅(例如,SiN或Si3N4)制成。
参照图3,提供了图1的记忆体元件100b的一些替代实施例的剖视图,其中PCE120'在剖视图中具有矩形形状。换言之,PCE 120'的宽度随着距底部电极114的距离增加而保持实质上恒定。以此方式,PCE 120'的顶表面的宽度WT2实质上等于PCE 120'的底表面的宽度WB2。PCE 120'的顶表面和底表面可以由实质上竖直的外侧壁120s'连接。另外,在剖视图中,顶部电极122'和硬遮罩124'可以表现出类似的矩形形状并且分别具有实质上竖直的侧壁122s'和124s'。
图4图示了集成电路300的一些实施例的剖视图,该集成电路300包括设置在集成电路300的内连接结构304中的记忆体单元116。在一些实施例中,图示并描述了与图1的PCM单元116相同的记忆体单元116。
集成电路300包括基板306。基板306可以是例如块材(bulk)基板(例如,块材硅基板)或绝缘体上硅(SOI)基板。图示的实施例描绘了一个或多个浅沟槽隔离(STI)区308,该一个或多个浅沟槽隔离(STI)区308可以包括基板306内的介电质填充沟槽。
存取晶体管312设置在多个STI区308之间。存取晶体管312包括存取栅电极316、存取栅极介电质320、存取侧壁间隔物322,以及源极/漏极区324。源极/漏极区324设置在存取栅电极316与STI区308之间的基板306内,并且被掺杂以具有第一导电类型,该第一导电类型与栅极介电质320下方的通道区的第二导电类型相反。存取栅电极316可以是例如掺杂的多晶硅或金属,诸如铝、铜或其组合。存取栅极介电质320可以是例如氧化物(例如,二氧化硅),或高介电常数介电材料。例如,存取侧壁间隔物322可以由例如氮化硅(例如,SiN或Si3N4)制成。在一些实施例中,存取晶体管312可以例如电耦合到字元线(word line;WL),使得可以将适当的WL电压施加到存取栅电极316。
内连接结构304布置在基板306上并且将元件(例如,晶体管312)彼此耦合。内连接结构304包括多个IMD层IMD1、IMD2、IMD3、IMD4和IMD5和多个金属化层M1、M2、M3、M4和M5,该多个IMD层和该多个金属化层以交替方式彼此层叠。IMD层IMD1-IMD5可以由例如低介电常数(low-k)介电质(诸如未掺杂的硅酸盐玻璃)或氧化物(诸如二氧化硅)或极低介电常数(extreme low-k)介电层制成。金属化层M1-M5各自包括金属线或导线,这些金属线或导线在相应的IMD层IMD1-IMD5中的沟槽内形成,并且可以由一种或多种金属(诸如TiN、TaN、铜、铝、钨等,或其组合)制成。接触CO从底部金属化层M1延伸到源极/漏极区324和/或栅电极316;中介窗V1在金属化层M1和M2之间延伸;中介窗V2在金属化层M2和M3之间延伸;中介窗V3在金属化层M3和M4之间延伸;并且中介窗V4在金属化层M5与PCM单元116的顶部电极122之间延伸。中介窗V1-V3延伸穿过蚀刻终止层ESL1、ESL2和ESL3。中介窗V4延伸穿过第二侧壁间隔物128和硬遮罩124。蚀刻终止层ESL1-ESL3可以由例如SiC或SiN制成。触点CO和中介窗V1-V4可以由一种或多种金属(诸如TiN、TaN、铜、铝、钨等,或其组合)制成。
被用以储存数据状态的记忆体单元116布置在金属层M4和M5之间的内连接结构304内。例如,记忆体单元116的底部电极114从金属层M4的顶表面延伸到PCE 120,并且中介窗V4从顶部电极122的顶表面穿过硬遮罩124、第二侧壁间隔物128和IMD层IMD5延伸到金属层M5。记忆体单元116经由金属层M5连接到位元线(BL),经由金属层M2连接到源极线(SL),并且经由栅电极316连接到字元线(WL),其中字元线WL可以形成在金属层M3中。
图5至图14图示了根据本揭示的形成记忆体元件的方法的一些实施例的剖视图。尽管图5至图14中参照方法图示了剖视图,但是应当理解,图5至图14中所示的结构不限于该方法,而是可以与该方法分开地单独存在。尽管图5至图14被描述为一系列动作,但是应当理解,这些动作不是限制性的,在其他实施例中这些动作的顺序可以改变,并且所揭示的方法亦适用于其他结构。在其他实施例中,可以全部或部分地省略所图示和/或描述的一些动作。
如图5的剖视图所示,初始结构包括:底部导线108,该底部导线108在IMD层110内;介电层112,该介电层112在底部导线108和IMD层110上;底部电极114,该底部电极114在覆盖底部导线108的介电层112内;相变化材料层402,该相变化材料层402在介电层112和底部电极114上;顶部电极层404,该顶部电极层404在相变化材料层402上;以及硬遮罩层406,该硬遮罩层406在顶部电极层404上。在一些实施例中,相变化材料层402可以例如是或包含诸如GST等相变化材料。
底部导线108的形成包括使用合适的蚀刻技术在IMD层110中形成沟槽,将一个或多个金属层沉积到IMD层110中的该沟槽内,以及去除IMD层110中的该沟槽外的一个或多个金属层的过量材料。可使用合适的沉积技术(例如化学气相沉积(CVD)、物理气相沉积(PVD)、溅射等)来形成相变化材料层402、顶部电极层404和硬遮罩层406。
如图6的剖视图所示,有机遮罩层408和上覆光阻剂410沉积在硬遮罩层406上。在一些实施例中,使用旋涂制程、沉积制程等来沉积光阻剂410和有机遮罩层408。有机遮罩层408可以是底部抗反射涂层(bottom anti-reflective coating;BARC)、抗反射涂层(anti-reflective coating;ARC),底部光阻剂涂层等。光阻剂410包含光敏材料。使用光微影法,将光阻剂410图案化以覆盖硬遮罩层406和顶部电极层404的部分。在图案化之后,光阻剂410位在底部电极114的正上方,如图6的剖视图所示。在一些实施例中,光阻剂410被图案化为比底部电极114更宽。
如图7的剖视图所示,根据光阻剂410在有机遮罩层(图6的408)、硬遮罩层(图6的406)和顶部电极层(图6的404)上进行包括三个步骤的蚀刻制程,以分别形成图案化的有机遮罩408',接着是图案化的硬遮罩124,接着是图案化的顶部电极122。蚀刻制程在处理腔室内执行,并且可以使用电浆蚀刻剂。在一些实施例中,电浆蚀刻剂可以通过TCP源而形成为变压器耦合电浆(TCP)。在其他实施例中,电浆蚀刻剂可以形成为电容耦合电浆(CCP)、电感耦合电浆(ICP)等。
蚀刻制程中的第一步骤的目标是有机遮罩层(图6的408),以根据光阻剂410形成图案化的有机遮罩408'。在一些实施例中,蚀刻制程的第一步骤在处理腔室内在约1毫托与约100毫托之间的范围内的压力下进行。在一些实施例中,蚀刻制程的第一步骤可以在约200瓦特与约800瓦特之间的范围内的功率下使用由TCP源形成的电浆蚀刻剂。在一些实施例中,将偏置电压施加到晶圆吸盘上,该晶圆吸盘用以保持图6中所示的结构。在一些实施例中,在蚀刻制程的第一步骤中使用的偏压(bias voltage)在约100伏与约500伏的范围内。在一些实施例中,在蚀刻制程的第一步骤中使用的蚀刻气体可包括以下:氧(O2)气,该氧气的流量在约1标准立方厘米/分钟与约20标准立方厘米/分钟之间的范围内;氯(Cl2)气,该氯气的流量在约5标准立方厘米/分钟至约50标准立方厘米/分钟的范围内;氦(He)气,该氦气的流量在约100标准立方厘米/分钟与约300标准立方厘米/分钟之间的范围内;和/或CH2F2气体,该CH2F2气体的流量在约10标准立方厘米/分钟与约50标准立方厘米/分钟之间的范围内。
蚀刻制程中的第二步骤的目标是硬遮罩层(图6的406),以根据光阻剂410形成图案化的硬遮罩124。在一些实施例中,蚀刻制程的第二步骤在约1毫托与约100毫托之间范围内的压力下进行。在一些实施例中,TCP源的功率被设定为在约200瓦特与约1000瓦特之间范围内的功率。在一些实施例中,偏压被设定为在约100伏特与约700伏特之间范围内的电压。在一些实施例中,在蚀刻制程的第二步骤中使用的蚀刻气体可包括以下:SF6,该SF6的流量在约10标准立方厘米/分钟与约80标准立方厘米/分钟之间的范围内;CF4,该CF4的流量在约10标准立方厘米/分钟与约50标准立方厘米/分钟之间的范围内;氦气,该氦气的流量在约100标准立方厘米/分钟与约300标准立方厘米/分钟之间的范围内;和/或CH2F2气体,该CH2F2气体的流量在约10标准立方厘米/分钟与约100标准立方厘米/分钟之间的范围内。
蚀刻制程中的第三步骤,亦即最终步骤,的目标是顶部电极层(图6的404),以形成图案化的顶部电极122。在一些实施例中,蚀刻制程的第三步骤在约1毫托与约100毫托之间范围内的压力下进行。在一些实施例中,TCP源功率被设置为在约200瓦特和约1000瓦特之间的功率范围内的功率。在一些实施例中,偏压被设定为在约100伏特与约900伏特之间范围内的电压。在一些实施例中,在蚀刻制程的第三步骤中使用的蚀刻气体可包括以下:氯(Cl2)气,该氯气的流量在约10标准立方厘米/分钟与约200标准立方厘米/分钟之间的范围内;CF4,该CF4的流量在约10标准立方厘米/分钟至约100标准立方厘米/分钟的范围内;氩(Ar)气,该氩气的流量在约50标准立方厘米/分钟与约500标准立方厘米/分钟之间的范围内;和/或HBr气体,该HBr气体的流量在约10标准立方厘米/分钟与约100标准立方厘米/分钟之间的范围内。
如图8的剖视图中所示,剥离光阻剂(图7的410)和图案化的有机遮罩(图7的408'),从而留下图案化的顶部电极122和在图案化的顶部电极122上的图案化的硬遮罩124。沉积的相变化材料层402比图案化的顶部电极122和图案化的硬遮罩124更宽。
如图9的剖视图中所示,在沉积的相变化材料层(图8的402)上进行电浆蚀刻制程P1以形成图案化的PCE 120。电浆蚀刻制程P1在处理腔室内执行,并且可以使用电浆蚀刻剂。在一些实施例中,电浆蚀刻剂可以通过TCP源而形成为变压器耦合电浆(TCP)。在其他实施例中,电浆蚀刻剂可以形成为电容耦合电浆(CCP)、电感耦合电浆(ICP)、电子回旋共振(ECR)等。
例如,图案化的PCE 120可以使用如图16所示的示例性TCP反应器900形成。TCP反应器900包括具有含电浆区域920的处理腔室910。反应器900包括晶圆吸盘930,该晶圆吸盘930设置在含电浆区域920下方。晶圆吸盘930包括用于固定半导体晶圆940的静电吸盘(electrostatic chuck;ESC),该半导体晶圆940包括如图8所示的结构。晶圆吸盘930耦合到射频(RF)电源945以提供偏压给晶圆940,此有助于在处理期间将带电的电浆根或离子朝向晶圆940引导。或者,匹配网路(未图示)可以选择性地耦合在晶圆吸盘930与RF电源945之间。多个微致动器950耦合在晶圆吸盘930下方并且围绕晶圆吸盘930的周边定位。
TCP反应器900亦包括电源960,该电源960位于处理腔室910的顶部部分上。电源960包括扁平螺旋线圈965,该扁平螺旋线圈965耦合到RF电源970。或者,匹配网路(未图示)可以耦合在线圈965与RF电源970之间,以匹配阻抗和提高效率。扁平螺旋线圈965通过介电板980与含电浆区域920分开。介电板980包含石英材料或其他合适的材料。TCP反应器900亦包括:一个或多个气体入口孔911,以允许将制程气体泵入处理腔室910中;以及一个或多个气体出口孔(未图示),以允许抽真空以维持处理腔室910中的操作压力。
在操作期间,半导体晶圆940紧固在静电吸盘930上。晶圆940包括相变化材料层402(如图8所示),该相变化材料层402被准备好用于电浆处理,诸如高密度电浆蚀刻处理。最初,吸盘930定位在平面(例如,xy平面)中,该平面平行于x轴991和y轴992并且垂直于z轴993。y轴992直接指向图16外。应当理解,x轴991、y轴992和z轴993是参考轴,并且仅被提供以帮助理解随后的描述。TCP反应器900包括控制器,该控制器用于控制各种制程条件,诸如气体流速、压力、腔室温度、功率和射频,以在含电浆区域920中产生电浆。这些制程条件可以取决于用于特定应用的电浆制程的类型而变化。
扁平螺旋线圈965由RF电源970提供能量并产生电场(未图示)。电场导致处理腔室910中的处理气体离解成离子、自由基和电子。经激发的电子被电场加速并撞击气体分子,此导致气体分子被电离(例如,自由电子)。这样的程序会继续,并最终使得电浆可独立地自维持(self-sustaining)于处理腔室910内。晶圆940经由吸盘930而被施加偏压,该吸盘930耦合到RF电源945。因此,将电浆中的离子以受控角度朝向晶圆940引导,使得可以实现具有受控蚀刻轮廓的非等向性蚀刻。
在一些实施例中,在约1毫托与约100毫托之间范围内的压力(例如,图16中的处理腔室910中的压力)下进行如图9中所示的电浆蚀刻制程P1。在一些实施例中,电浆蚀刻制程P1的TCP源功率(例如,图16中的RF电源970的功率)被设定为在约100瓦特与约500瓦特之间的功率范围内的功率。在一些实施例中,电浆蚀刻制程P1的偏压(例如,图16中的RF电源945的最大电压)被设定为约100伏特与约500伏特之间范围内的电压。在一些实施例中,当静电吸盘930的温度在约摄氏40度与约摄氏120度之间的范围内时,当处理腔室910的侧壁的温度在约摄氏40度与约摄氏70度之间的范围内时,和/或当顶部电极122的温度在约摄氏100度与约摄氏150度之间的范围内时,执行电浆蚀刻制程P1。
在一些实施例中,电浆蚀刻制程P1中使用的蚀刻气体(例如,经由如图16中所示的一个或多个气体入口孔911泵入处理腔室910中的气体)可包括以下:氯气(Cl2),该氯气的流量在约10标准立方厘米/分钟与约100标准立方厘米/分钟之间的范围内;氩气(Ar),该氩气的流量在约50标准立方厘米/分钟与约500标准立方厘米/分钟之间的范围内;HBr,该HBr的流量在约10标准立方厘米/分钟与约100标准立方厘米/分钟之间的范围内;CH2F2,该CH2F2的流量在约10标准立方厘米/分钟与约50标准立方厘米/分钟之间的范围内;SF6,该SF6的流量在约5标准立方厘米/分钟与约20标准立方厘米/分钟之间的范围内;H2,该H2的流量在约2标准立方厘米/分钟与约50标准立方厘米/分钟之间的范围内;和/或BCl3,该BCl3的流量在约10标准立方厘米/分钟与约100标准立方厘米/分钟之间的范围内。其中注意到在电浆蚀刻制程P1中使用的气体不含氨气(NH3气体)。换言之,执行电浆蚀刻制程P1的处理腔室(例如,如图16所示的腔室910)不含在执行蚀刻制程P1期间由氨气质子化产生的铵电浆(NH4 +)。以此方式,可以防止铵电浆与层402中的硫族化物材料之间的不想要的化学反应。
如图9的剖视图中所示,示出了一些实施例的在完成电浆蚀刻制程P1之后的PCE120、顶部电极122和硬遮罩124。PCE 120具有如图9所示的梯形样形状,并且具有的顶表面与顶部电极122的底表面基本上齐平。在一些实施例中,在电浆蚀刻制程P1之后,PCE 120的顶表面的大小基本上等于顶部电极12的底表面的大小。在一些其他实施例中,在电浆蚀刻制程P1之后,PCE 120的顶表面比顶部电极122的底表面更宽。根据剖视图,如在图9的剖视图中,梯形样的PCE 120可以具有非线性的外侧壁120s。例如,外侧壁120s的上部部分的斜率的绝对值大于外侧壁120s的下部部分的斜率的绝对值。换言之,外侧壁120s的上部部分比外侧壁120s的下部部分更陡峭。
PCE 120的底表面大致以角度C与PCE 120的外侧壁120s相交。在一些实施例中,角度C可以在约45度与约85度之间的范围内。顶部电极122可以具有外侧壁122s,该外侧壁122s以角度D与顶部电极122的底表面交汇。硬遮罩124的外侧壁124s可以以角度E与硬遮罩124的底表面相交。在一些实施例中,角度C、D和E为基本上相同的。在一些其他实施例中,角D和E可各自小于角度C。在此类实施例中,PCE 120的外侧壁120s比顶部电极122的外侧壁122s和硬遮罩124的外侧壁124s更陡峭。
如图10的剖视图中所示,紧接在电浆蚀刻制程P1之后,原位(in-situ)执行电浆沉积制程P2以在硬遮罩124、顶部电极122和PCE 120上共形地毯覆沉积保护涂层412。如本文所使用的,术语“原位”用于描述当晶圆(例如,图16中的晶圆940)保持在同一处理腔室(例如,图16中的处理腔室910)内时在该晶圆上执行的制程(例如,电浆蚀刻制程P1和电浆沉积制程P2),并且其中例如处理腔室(例如,图16中的处理腔室910)允许晶圆保持在真空条件下(亦即,没有破坏真空)。如此,术语“原位”通常亦可以用于指此类制程,在这些制程中正在被处理的晶圆不暴露于外部环境(例如,在图16中的处理腔室910外部)。以此方式,尽管PCE 120对湿气敏感,但是保护涂层412可以阻挡PCE 120不与湿气接触,因为在不破坏真空的情况下,在蚀刻PCE 120之后立即使用例如图16中的TCP反应器900在PCE 120上原位沉积保护涂层412。
在一些实施例中,在电浆沉积制程P2中使用的气体经选择,以使得所得电浆对PCE120是化学惰性的(亦即,PCE 120的硫族化物材料在沉积制程P2中对电浆是化学惰性的)。因此,PCE 120在电浆沉积制程P2中不与电浆发生化学反应,此继而将降低由不想要的化学反应引起的损伤(例如,在PCE 120的外侧壁120s上形成的空隙)的风险。以此方式,在执行电浆沉积制程P2之后,PCE 120的外侧壁120s具有与执行电浆沉积制程P2之前基本上相同的轮廓。
在PCE 120由硫族化物材料(例如,GST)制成的一些实施例中,在电浆沉积制程P2中使用的气体不含氨(NH3)气,因为从氨气产生的铵电浆会与该硫族化物材料反应,继而损伤PCE 120的外侧壁120s(例如,在PCE 120的外侧壁120s上产生空隙)。换言之,在无氨(亦即,无铵)环境中执行电浆沉积制程P2,以防止对PCE 120的外侧壁120s的损伤。例如,处理腔室910在执行电浆沉积制程P2期间保持无氨。此外,在电浆沉积制程P2中使用的气体亦不含含氧气体(例如,O2),由此防止PCE 120的外侧壁120s发生不想要的氧化。换言之,电浆沉积制程P2在无氧环境中进行。
在一些实施例中,在电浆沉积制程P2中使用的气体(例如,经由如图16所示的一个或多个气体入口孔911泵入处理腔室910的气体)可以是甲烷(CH4)和氩(Ar)的气体混合物,该气体混合物的流量在约50标准立方厘米/分钟与约500标准立方厘米/分钟之间的范围内。该气体混合物不含含氮气体(例如,氨气)和含氧气体(例如,氧气),以防止与PCE 120发生不想要的化学反应。
在一些实施例中,在约1毫托与约100毫托之间范围内的压力(例如,图16中的处理腔室910中的压力)下进行电浆沉积制程P2。在一些实施例中,电浆沉积制程P2的TCP源功率(例如,图16中的RF电源970的功率)被设定为在约300瓦特与约1200瓦特之间的功率范围内的功率。在一些实施例中,电浆沉积制程P2的偏压(例如,图16中的RF电源945的最大电压)被设定为约30伏特与约100伏特之间范围内的电压。在一些实施例中,当静电吸盘930的温度在约摄氏40度与约摄氏120度之间的范围内时,当处理腔室910的侧壁的温度在约摄氏40度与约摄氏70度之间的范围内时,和/或当顶部电极122的温度在约摄氏100度与约摄氏150度之间的范围内时,执行电浆沉积制程P2。
作为上面所述的电浆沉积制程P2的所选气体和相关条件的结果,所得到的保护涂层412是二元碳-氢化合物层(亦即,不含氮和氧的化合物)。例如,保护涂层412可以是CHx二元化合物,其中x在2与4之间。在一些实施例中,保护涂层412的厚度可以在约10埃至约20埃的范围内。若保护涂层412的厚度大于约20埃,则其可能遭受膜与膜的粘附问题(例如,保护涂层412与随后形成的侧壁间隔物层414(如图11所示)之间的粘附性差),并且亦可能具有不令人满意的表面粗糙度。若保护涂层412的厚度小于约10埃,则PCE 120的侧壁保护可为不令人满意的。
如图11的剖视图中所示,执行沉积制程以在保护涂层412上形成第一侧壁间隔物层414。在一些实施例中,第一侧壁间隔物层414可以是例如或包含诸如氮化硅(SiN或Si3N4)、碳化硅(SiC)等材料,或其他合适的介电材料。在一些实施例中,第一侧壁间隔物层414可以是使用例如CVD、ALD、PVD或其他合适的沉积制程沉积的含氮层,诸如氮化硅层。因为第一侧壁间隔物层414是含氮层并且保护涂层412不含氮,所以第一侧壁间隔物层414具有比保护涂层412更大的氮原子浓度。例如,保护涂层412具有为零值的氮原子浓度,并且第一侧壁间隔物层414具有为非零值(亦即,正值)的氮原子浓度。应注意的是,保护涂层412理想地具有为零值的氮原子浓度,但是最终IC产品(如图4所示)中的所得保护涂层125由于后段制程(BEOL)中的后续制程步骤中的无意热扩散而可能具有为非零值的氮原子浓度。然而,在最终的IC产品中,所得保护涂层125仍可具有比所得第一侧壁间隔物126更低的氮原子浓度。
可以在无氧环境中(例如,在诸如图16的910等无氧腔室中)沉积含氮层414,从而产生含氮但不含氧的层414。因为第一侧壁间隔物层414包含氮化物但不含氧,所以它可以防止PCE 120因氧化物材料的脱气而引起的不期望的氧化,和/或对PCE 120提供在后续使用卤素蚀刻剂(例如,氟、氯和溴)的蚀刻制程(例如,如图12中所示的非等向性蚀刻)中更多的保护。
在第一侧壁间隔物层414是不含氧的氮化硅层的一些实施例中,第一侧壁间隔物层414可以通过在没有含氧前驱物(例如,氧)的情况下,使用含硅前驱物(例如,硅烷、乙硅烷或丙硅烷或线)和含氮前驱物(例如氨)的电浆沉积制程形成。若该氮化硅层直接形成在PCE 120上(亦即,与PCE 120接触)而没有中介的保护涂层412,则PCE 120的硫族化物材料将暴露于由氨气产生的铵电浆,从而与该铵电浆反应。此类化学反应可能会在PCE 120的外侧壁120s上产生空隙。然而,因为在本揭示的一些实施例中PCE 120完全被保护涂层412覆盖或涂覆,所以保护涂层142可以阻挡PCE 120不与铵电浆接触,此继而将防止在第一侧壁间隔物层414形成期间在PCE 120的侧壁120s上形成空隙。
此外,保护涂层125的CHx二元化合物对由含硅前驱物(例如,硅烷、乙硅烷或丙硅烷或相似物)和含氮前驱物(例如,氨)产生的电浆是化学惰性的,因此第一侧壁间隔物层414的沉积不会引起与保护涂层125的化学反应,此继而将防止损伤保护涂层125。此外,CHx二元化合物可以提供比三元碳-氢化合物更高的与氮化硅的粘附性,因此由于保护涂层125中的CHx二元化合物,可以实现第一侧壁间隔物层414与保护涂层125之间的改善的粘附性。在一些实施例中,第一侧壁间隔物层414的沉积达到小于约摄氏250度的最大温度,此继而将降低PCE 120的熔化、沸腾和/或升华的风险。
如图12的剖视图中所示,执行一个或多个非等向性蚀刻制程以从硬遮罩124和介电层112去除第一侧壁间隔物层(图11的414)和保护涂层(图11的412)的水平部分,同时留下第一侧壁间隔物层414的倾斜部分(或竖直部分)作为第一侧壁间隔物126,以及留下保护涂层412的由第一侧壁间隔物126围绕的部分作为保护涂层125。在一些实施例中,非等向性蚀刻制程是电浆蚀刻制程。更详细地,通过将第一侧壁间隔物层414暴露于电浆蚀刻剂(例如,氟、氯和/或溴电浆)来执行非等向性蚀刻制程。
若PCE 120的外侧壁120s已经具有由先前制程步骤引起的损伤(例如,由侧壁间隔物层414的电浆沉积产生的空隙),则电浆蚀刻剂(例如,氟、氯和/或溴电浆)可加剧PCE 120的外侧壁120s上的损伤(例如,扩大PCE 120的外侧壁120s上的空隙)。然而,因为保护涂层412可以防止PCE 120的外侧壁120s被损伤(例如,防止形成空隙),所以PCE 120的外侧壁120s在电浆蚀刻过程中仍然可以保持基本完整。此外,因为第一侧壁间隔物层414不含氧,所以它可以提供抗电浆蚀刻剂的增强的抗蚀刻性,以及因此在非等向性蚀刻制程期间改善的对保护涂层125和/或PCE 120的保护。
如图13的剖视图中所示,执行沉积制程以在硬遮罩124、介电层112和第一侧壁间隔物126上形成第二侧壁间隔物128毯覆物。在一些实施例中,第二侧壁间隔物128可以例如是或包含不含氧的材料,诸如SiC。因为第二侧壁间隔物128不含氧,所以它可以防止PCE120发生因氧化物材料的脱气而引起的不期望的氧化。沉积制程可以例如通过CVD、PVD、一些其他合适的沉积制程,或上述的任意组合来执行。在一些实施例中,沉积过程达到小于约摄氏350度的最高温度。
如图14的剖视图中所示,执行沉积制程以在第二侧壁间隔物128上方形成IMD层130。在一些实施例中,IMD层130可以是例如或包含氧化物(诸如二氧化硅)、极低介电常数介电质等。形成IMD层130的沉积制程可以例如通过CVD、PVD、旋涂制程、一些其他合适的沉积制程或前述的任何组合来执行。在一些实施例中,沉积过程达到小于约400摄氏度的最高温度。
IMD层130是含氧层(例如,氧化物层或多孔氧化物层),用于降低IMD层130的介电常数,以及继而减小电阻-电容(resistance-capacitance;RC)延迟。因为IMD层130是含氧层,并且保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128是不含氧的,所以IMD层130具有比保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128更大的氧原子浓度。例如,保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128可以具有为零值的氧原子浓度,并且IMD层130具有为非零值(亦即,正值)的氧原子浓度。应注意的是,保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128理想地具有为零值的氧原子浓度,但是在最终的IC产品(如图4所示)中保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128由于BEOL中的后续制程步骤中的无意热扩散而可能具有为非零值的氧原子浓度。然而,在最终IC产品中,保护涂层125、第一侧壁间隔物126和第二侧壁间隔物128仍然可以具有比IMD层130更低的氧原子浓度。
在一些实施例中,氧可能在IMD层130的形成期间和/或在BEOL中的一个或多个后续制程步骤中脱气。若脱气氧气到达PCE 120的外侧壁120s,则可能发生PCE 120的不想要的氧化。然而,因为PCE 120的外侧壁120s通过不含氧的三层(例如,层125、126和128)而与IMD层130分开,而不是通过不含氧的双层(例如,双间隔物层126和128,而没有涂层125)或不含氧的单层(单个间隔物层126,而没有涂层125和间隔物层128),所以从IMD层130到PCE120的外侧壁120s的脱气可以被抑制,此继而将防止对PCE 120的不期望氧化。
此外,若在IMD层130形成期间和/或在BEOL中的一个或多个后续制程步骤中PCE120的硫族化物材料脱气至周围环境中,则硫族化物材料的气体可能与周围环境中的湿气发生反应,继而产生有毒气体。然而,因为PCE 120的外侧壁120s通过三层(例如,层125、126和128)而与IMD层130分离,而不是通过双层(例如,双间隔物层126和128,而没有涂层125)或单层(单个间隔物层126,而没有涂层125和间隔物层128),故从PCE 120的外侧壁120s到周围环境的脱气可以被抑制,此继而将防止在IMD层130形成期间和/或在BEOL中的一个或多个后续制程步骤期间产生毒性气体。
在形成IMD层130之后,在IMD层130中和顶部电极122上形成导电中介窗132,并且在IMD层130中和导电中介窗132上形成上部导电线134。导电中介窗132和上部导电线134可以例如通过以下方式形成:使用双镶嵌制程图案化IMD层130以形成具有导电中介窗132和上部导电线134的图案的介层洞和沟槽;沉积一个或多个导电层(例如,金属层)以填充介层洞和沟槽并覆盖IMD层130;以及在该一个或多个导电层上执行平坦化(例如,化学机械平坦化),直到到达IMD层130。图案化可以例如通过光微影/蚀刻制程和/或一些其他合适的图案化制程来执行。在一些实施例中,若导电中介窗132和上部导电线134是使用与上述相同的沉积制程沉积的,则它们之间可以没有可区分的界面。
图15A和图15B图示了根据一些实施例的形成记忆体元件的方法M。尽管方法M被图示和/或描述为一系列动作或事件,但是应当理解,该方法不限于所图示的顺序或动作。因此,在一些实施例中,动作可以以不同于所示的顺序执行,和/或可以同时执行。此外,在一些实施例中,所图示的动作或事件可以被细分为多个动作或事件,该多个动作或事件可以在不同的时间执行或者与其他动作或子动作同时执行。在一些实施例中,可以省略一些图示的动作或事件,并且可以包括其他未图示的动作或事件。
在方块S11,在介电层中的底部电极上沉积相变化材料层。
在方块S12,在该相变化材料层上沉积顶部电极层。
在方块S13,在该顶部电极层上沉积硬遮罩层。图5图示了对应于方块S11-S13中的动作的一些实施例的剖视图。
在方块S14,图案化该顶部电极层和该硬遮罩层以暴露相变化材料层的一部分。图7图示了对应于方块S14中的动作的一些实施例的剖视图。
在方块S15,对相变化材料层的暴露部分执行电浆蚀刻制程,从而形成PCE,该PCE覆盖底部电极并暴露介电层的一部分。图9图示了对应于方块S15中的动作的一些实施例的剖视图。
在方块S16,使用与方块S15的电浆蚀刻制程原位执行的电浆沉积制程来沉积保护涂层,以覆盖PCE、顶部电极和硬遮罩的堆叠。图10图示了对应于方块S16中的动作的一些实施例的剖视图。
在方块S17,在保护涂层上形成第一侧壁间隔物层。图11图示了对应于方块S17中的动作的一些实施例的剖视图。
在方块S18,在第一侧壁间隔物层和保护涂层上执行非等向性蚀刻制程,以定义第一侧壁间隔物和保护涂层。图12图示了对应于方块S18中的动作的一些实施例的剖视图。
在方块S19,在第一侧壁间隔物上形成第二侧壁间隔物。图13图示了对应于方块S19中的动作的一些实施例的剖视图。
在方块S20,在第二侧壁间隔物上形成IMD层。
在方块S21,在IMD层中和顶部电极上形成导电中介窗和导电线。图14图示了对应于方块S20和S21中的动作的一些实施例的剖视图。
基于上面的论述,可以看出本揭示提供了以下优点。然而,应当理解,其他实施例可以提供额外的优点,并且并非所有优点都必须在此揭示,并且并非所有实施例都需要特别的优点。
一个优点是在不使用含氮前驱物的情况下将保护涂层沉积在PCE上。由于含氮前驱物与PCE中的硫族化物材料之间的化学反应而导致PCE的外侧壁上的空隙。因此,本揭露可以减少PCE外侧壁上的空隙。
另一个优点是使用对PCE呈化学惰性的电浆在PCE上形成保护涂层,由此防止损伤PCE的外侧壁(例如,在PCE的外侧壁上形成空隙)。因此,可以减少PCE外侧壁上的空隙。
另一个优点是保护涂层可在形成基于氮化物的间隔物层的过程中阻挡PCE的外侧壁免于受到反应性电浆(例如,铵电浆)所影响,和/或在图案化基于氮化物的间隔物层期间阻挡PCE的外侧壁免于受到蚀刻剂(例如,氟、氯和/或溴)的影响。因此,可以减少PCE外侧壁上的空隙。
另一个优点是保护涂层提升了从PCE到周围环境的脱气抑制,从而减少了由硫族化物材料的气体与周围环境中的湿气之间的化学反应造成的有毒气体。
另一个优点是保护涂层改善了从IMD层到PCE的脱气抑制,由此减少了由IMD层中的氧化物材料的脱气引起的对PCE的不期望氧化。
在一些实施例中,本揭示涉及一种方法,包括:在底部电极上形成相变化元件以及在该相变化元件上形成顶部电极;在该相变化元件周围形成保护层;以及在形成该保护层之后,在该保护层周围形成含氮侧壁间隔物层。
在一些实施例中,形成相变化元件包括在该底部电极上形成一相变化材料层;以及将该相变化材料层图案化为该相变化元件,其中形成该保护层是通过图案化该相变化材料层而原位执行的。
在一些实施例中,使用一电浆沉积制程来形成该保护层。
在一些实施例中,该相变化元件不与在该电浆沉积制程中使用的电浆发生一化学反应。
在一些实施例中,形成该保护层包括将该相变化元件暴露于由甲烷和氩产生的电浆。
在一些实施例中,形成该含氮侧壁间隔物层包括将该保护层暴露于一铵电浆,其中该保护层不与该铵电浆发生一化学反应。
在一些实施例中,含氮侧壁间隔物层是在不使用一含氧气体的情况下通过一沉积制程而形成的。
在一些实施例中,保护层是在不使用一含氧气体的情况下通过一沉积制程而形成的。
在一些实施例中,还包括从该顶部电极上方移除该保护层的一第一部分,其中在从该顶部电极上方移除该保护层的该第一部分之后,该相变化元件的一外侧壁被该保护层的一第二部分覆盖。
在一些实施例中,还包括在从该顶部电极上方移除该保护层的该第一部分之后,在该顶部电极上形成一导电中介窗。
在一些实施例中,本揭示涉及一种方法,包括:在底部电极上形成相变化元件以及在该相变化元件上形成顶部电极;在处理腔室中执行沉积制程以在该相变化元件的外侧壁上沉积保护涂层,其中该处理腔室在执行该沉积制程期间不含铵电浆;以及在进行该沉积制程之后,在该保护涂层上形成第一侧壁间隔物层。
在一些实施例中,形成该相变化元件包括在该底部电极上形成一相变化材料层;以及在该相变化材料层上执行一蚀刻制程,其中该蚀刻制程在执行该沉积制程的该处理腔室中执行。
在一些实施例中,该蚀刻制程在不使用一铵电浆的情况下执行。
在一些实施例中,还包括去除该保护涂层的一第一部分以暴露围绕该底部电极的一介电层并暴露该顶部电极上的一硬遮罩,其中该保护涂层的一第二部分在移除该保护涂层的该第一部分期间保留在该相变化元件的该外侧壁上。
在一些实施例中,在去除该保护涂层的该第一部分之后,在该暴露的介电层上形成一第二侧壁间隔物:以及形成穿过该第二侧壁间隔物和在该顶部电极上的一导电中介窗。
在一些实施例中,本揭示涉及一种PCRAM元件,包括:记忆体单元,该记忆体单元位于金属间介电质(IMD)层上,并且包括底部电极、顶部电极和位于该顶部电极和该底部电极之间的相变化元件;保护涂层,该保护涂层在该相变化元件的外侧壁上;以及第一侧壁间隔物,该第一侧壁间隔物在该保护涂层的外侧壁上,其中该第一侧壁间隔物具有比该保护涂层更大的氮原子浓度。
在一些实施例中,保护图层是不含氮的。
在一些实施例中,保护图层是一含碳膜。
在一些实施例中,保护图层更位于该顶部电极的一外侧壁上。
在一些实施例中,还包括一第二侧壁间隔物,该第二侧壁间隔物具有一第一部分和一第二部分,该第一部分沿着该第一侧壁间隔物的一外侧壁延伸,该第二部分在远离该底部电极的一方向上沿着该IMD层的一顶表面从该第一部分的一底部延伸。
先前概述了若干实施例的特征,使得本领域技艺人士可以更好地理解本揭露的各态样。本领域技艺人士应当理解,他们可以容易地使用本揭露作为设计或修改其他制程和结构的基础,以实现与本文介绍的实施例相同的目的及/或实现与本文介绍的实施例相同的优点。本领域技艺人士亦应当认识到,此类等同构造不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范围的情况下,他们可以在本文中进行各种改变、替换和变更。

Claims (1)

1.一种相变化随机存取记忆体元件的形成方法,其特征在于,包括:
在一底部电极上形成一相变化元件并且在该相变化元件上形成一顶部电极;
在该相变化元件周围形成一保护层;以及
在该相变化元件周围形成该保护层之后,在该保护层周围形成一含氮侧壁间隔物层。
CN201911106563.8A 2018-11-14 2019-11-13 相变化随机存取记忆体元件的形成方法 Pending CN111192956A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862767372P 2018-11-14 2018-11-14
US62/767,372 2018-11-14
US16/509,105 US11362277B2 (en) 2018-11-14 2019-07-11 Sidewall protection for PCRAM device
US16/509,105 2019-07-11

Publications (1)

Publication Number Publication Date
CN111192956A true CN111192956A (zh) 2020-05-22

Family

ID=70550794

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911106563.8A Pending CN111192956A (zh) 2018-11-14 2019-11-13 相变化随机存取记忆体元件的形成方法

Country Status (3)

Country Link
US (3) US11362277B2 (zh)
CN (1) CN111192956A (zh)
TW (1) TWI784208B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210081436A (ko) * 2018-11-19 2021-07-01 램 리써치 코포레이션 텅스텐을 위한 몰리브덴 템플릿들
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR102652234B1 (ko) * 2019-03-05 2024-04-01 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
KR20210001090A (ko) * 2019-06-26 2021-01-06 에스케이하이닉스 주식회사 전자 장치 및 전자 장치의 제조 방법
US11239418B2 (en) * 2020-01-06 2022-02-01 International Business Machines Corporation Memory device having a ring heater
FR3115932A1 (fr) * 2020-10-29 2022-05-06 Stmicroelectronics (Crolles 2) Sas Mémoire à changement de phase
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7800092B2 (en) * 2006-08-15 2010-09-21 Micron Technology, Inc. Phase change memory elements using energy conversion layers, memory arrays and systems including same, and methods of making and using
KR100945790B1 (ko) * 2008-02-15 2010-03-08 주식회사 하이닉스반도체 상변화 메모리 소자 및 그 제조 방법
KR100962019B1 (ko) * 2008-06-30 2010-06-08 주식회사 하이닉스반도체 보호막을 포함하는 상변화 메모리 소자 및 그 제조방법
US8906760B2 (en) * 2012-03-22 2014-12-09 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
KR101950349B1 (ko) * 2012-12-26 2019-02-20 에스케이하이닉스 주식회사 보이드 프리 폴리실리콘 갭필 방법 및 그를 이용한 반도체장치 제조 방법
US9484196B2 (en) * 2014-02-25 2016-11-01 Micron Technology, Inc. Semiconductor structures including liners comprising alucone and related methods
US9847481B2 (en) * 2015-10-27 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Metal landing on top electrode of RRAM
US10879370B2 (en) * 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10833271B2 (en) * 2018-03-23 2020-11-10 Arm Ltd. Method for fabrication of a CEM device
US20190296232A1 (en) * 2018-03-23 2019-09-26 Arm Ltd. Method for fabrication of a cem device
US10862031B2 (en) * 2019-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method to effectively suppress heat dissipation in PCRAM devices
US10847716B1 (en) * 2019-05-16 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a phase change memory device having a second opening above a first opening in the dielectric layer

Also Published As

Publication number Publication date
US20220310919A1 (en) 2022-09-29
US11362277B2 (en) 2022-06-14
TW202018820A (zh) 2020-05-16
TWI784208B (zh) 2022-11-21
US20240023462A1 (en) 2024-01-18
US20200152870A1 (en) 2020-05-14
US11818967B2 (en) 2023-11-14

Similar Documents

Publication Publication Date Title
TWI784208B (zh) 相變化隨機存取記憶體元件及其形成方法
CN107278324B (zh) 用于图案化的掩模蚀刻
US10615116B2 (en) Surface nitridation in metal interconnects
US11588106B2 (en) Method to effectively suppress heat dissipation in PCRAM devices
TW201926436A (zh) 製造半導體裝置的方法及其結構
US20050250316A1 (en) Methods for fabricating memory devices using sacrifical layers and memory devices fabricated by same
WO2020223622A1 (en) Fully aligned subtractive processes and electronic devices therefrom
US7972966B2 (en) Etching of tungsten selective to titanium nitride
US7265373B2 (en) Phase change memory device and method of manufacturing
TW202022146A (zh) 半導體裝置的形成方法
KR100838527B1 (ko) 상변화 기억소자 형성 방법
CN114981934A (zh) 用于减除式自对准的方法和装置
US7569430B2 (en) Phase changeable structure and method of forming the same
CN112447903A (zh) 存储器堆叠
JP2023550907A (ja) ピラーセルPCM用のin-situドリフト緩和ライナ
US11094588B2 (en) Interconnection structure of selective deposition process
WO2021081379A1 (en) Method of forming interconnect for semiconductor device
CN111540828A (zh) 相变存储器的形成方法
US10541365B1 (en) Phase change memory and method of fabricating same
US20240147874A1 (en) Phase-change memory device with conductive cladding
US20230420267A1 (en) Oxygen-free etching of non-volatile metals
TW202145338A (zh) 用於反應性離子蝕刻(rie)延遲縮減及腔室角隅保護之介電蝕刻停止層
TW202414590A (zh) 非揮發性金屬的無氧蝕刻
JP2024521903A (ja) 繰り返しプラズマ処理

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination