CN110462520A - 包含具有光交联基的聚醚树脂的高低差基板被覆组合物 - Google Patents

包含具有光交联基的聚醚树脂的高低差基板被覆组合物 Download PDF

Info

Publication number
CN110462520A
CN110462520A CN201880022769.XA CN201880022769A CN110462520A CN 110462520 A CN110462520 A CN 110462520A CN 201880022769 A CN201880022769 A CN 201880022769A CN 110462520 A CN110462520 A CN 110462520A
Authority
CN
China
Prior art keywords
carbon atom
atom number
formula
difference
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880022769.XA
Other languages
English (en)
Other versions
CN110462520B (zh
Inventor
德永光
远藤贵文
桥本圭祐
坂本力丸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nitka Chemical Co Ltd
Original Assignee
Nitka Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nitka Chemical Co Ltd filed Critical Nitka Chemical Co Ltd
Publication of CN110462520A publication Critical patent/CN110462520A/zh
Application granted granted Critical
Publication of CN110462520B publication Critical patent/CN110462520B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F290/00Macromolecular compounds obtained by polymerising monomers on to polymers modified by introduction of aliphatic unsaturated end or side groups
    • C08F290/08Macromolecular compounds obtained by polymerising monomers on to polymers modified by introduction of aliphatic unsaturated end or side groups on to polymers modified by introduction of unsaturated side groups
    • C08F290/14Polymers provided for in subclass C08G
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4012Other compound (II) containing a ketone group, e.g. X-Ar-C(=O)-Ar-X for polyetherketones
    • C08G65/4043(I) or (II) containing oxygen other than as phenol or carbonyl group
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D171/00Coating compositions based on polyethers obtained by reactions forming an ether link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D171/08Polyethers derived from hydroxy compounds or from their metallic derivatives
    • C09D171/10Polyethers derived from hydroxy compounds or from their metallic derivatives from phenols
    • C09D171/12Polyphenylene oxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0388Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the side chains of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Paints Or Removers (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Polymerisation Methods In General (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Polyethers (AREA)

Abstract

本发明的课题是提供对图案的填充性高,在基板上形成具有能够通过光固化而形成涂膜的平坦化性的被膜、且在光照射后耐热性高的被膜的高低差基板被覆组合物。解决手段是一种光固化性高低差基板被覆组合物,其包含聚合物,上述聚合物包含式(1)所示的单元结构。〔在式(1)中,A1、A2和A3各自独立地表示可以包含杂原子的碳原子数6~100的芳香族环或表示含有可以包含杂原子的碳原子数6~100的芳香族环的烃基,B1、B2和B3各自独立地表示式(2)。(在式(2)中,R1表示碳原子数1~10的亚烷基、碳原子数1~10的亚烯基、碳原子数1~10的亚炔基、碳原子数6~40的亚芳基、氧原子、羰基、硫原子、‑C(O)‑O‑、‑C(O)‑NRa‑、‑NRb‑或由它们的组合构成的基团,R2表示氢原子、或碳原子数1~10的烷基。〕

Description

包含具有光交联基的聚醚树脂的高低差基板被覆组合物
技术领域
涉及用于使具有高低差的基板通过光交联而形成平坦化膜的高低差基板被覆组合物、和使用了该高低差基板被覆组合物的被平坦化了的叠层基板的制造方法。
背景技术
近年来,半导体集成电路装置按微细的设计规则加工。为了通过光学光刻技术而形成更加微细的抗蚀剂图案,需要将曝光波长短波长化。
然而,随着曝光波长的短波长化而焦深降低,因此需要使在基板上形成的被膜的平坦化性提高。为了制造具有微细的设计规则的半导体装置,基板上的平坦化技术变得重要。
公开了通过光固化形成平坦化膜,例如在抗蚀剂下形成的抗蚀剂下层膜的方法。
公开了包含侧链具有环氧基、氧杂环丁烷基的聚合物和光阳离子聚合引发剂的抗蚀剂下层膜形成用组合物、或包含具有能够自由基聚合的烯属不饱和键的聚合物和光自由基聚合引发剂的抗蚀剂下层膜形成用组合物(参照专利文献1)。
此外,公开了包含具有环氧基、乙烯基等能够阳离子聚合的反应性基的硅系化合物、和光阳离子聚合引发剂、光自由基聚合引发剂的抗蚀剂下层膜形成用组合物(参照专利文献2)。
此外,公开了使用含有侧链具有交联性官能团(例如羟基)的聚合物、交联剂和光产酸剂的抗蚀剂下层膜的半导体装置的制造方法(参照专利文献3)。
此外,公开不是光交联系的抗蚀剂下层膜,但主链或侧链具有不饱和键的抗蚀剂下层膜(参照专利文献4、5)
现有技术文献
专利文献
专利文献1:国际公开第2006/115044号小册子
专利文献2:国际公开第2007/066597号小册子
专利文献3:国际公开第2008/047638号小册子
专利文献4:国际公开第2009/008446号小册子
专利文献5:日本特表2004-533637号公报
发明内容
发明所要解决的课题
对于以往的光交联材料,对于包含具有羟基等热交联形成官能团的聚合物、交联剂和酸催化剂(产酸剂)的抗蚀剂下层膜形成用组合物,在为了填充于在基板上形成的图案(例如,孔穴、沟槽结构)而进行加热时交联反应进行、发生粘度上升,对图案的填充性成为问题。而且发生由脱气引起的热收缩,因此平坦化性成为问题。
此外,对于包含具有环氧基、乙烯基等能够阳离子聚合的反应性基的聚合物和产酸剂的抗蚀剂下层膜形成用组合物,进行光照射和加热。此时仍然发生由脱气引起的热收缩,因此平坦化性成为问题。
本发明的光固化性高低差基板被覆组合物提供对图案的填充性高,能够在基板上形成具有能够通过不发生脱气、热收缩的光固化而形成涂膜的平坦化性的被膜、并且在光照射后耐热性高的被膜的高低差基板被覆组合物。
用于解决课题的方法
本申请发明中,作为第1观点,是一种光固化性高低差基板被覆组合物,其包含聚合物,上述聚合物包含式(1)所示的单元结构,
〔在式(1)中,A1、A2和A3各自独立地表示可以包含杂原子的碳原子数6~100的芳香族环或表示含有可以包含杂原子的碳原子数6~100的芳香族环的烃基,B1、B2和B3各自独立地表示式(2),X表示羰基、磺酰基、-CR2 2-基、或-C(CF3)2-基,n1为1≤n1≤4的整数,n2为0≤n2≤4的整数,n3为0≤n3≤4的整数,n1+n2+n3=1~12的整数。〕,
(在式(2)中,R1表示碳原子数1~10的亚烷基、碳原子数1~10的亚烯基、碳原子数1~10的亚炔基、碳原子数6~40的亚芳基(该亚烷基、亚烯基、亚炔基和亚芳基可以被1个或2个以上氰基和/或1个或2个以上羟基任意取代。)、氧原子、羰基、硫原子、-C(O)-O-、-C(O)-NRa-、-NRb-或由它们的组合构成的基团,Ra表示氢原子或碳原子数1~10的烷基,Rb表示氢原子、碳原子数1~10的烷基或碳原子数2~10的烷基羰基,R2表示氢原子、或碳原子数1~10的烷基,虚线表示与A1、A2、或A3的结合。)作为第2观点,根据第1观点所述的光固化性高低差基板被覆组合物,关于A1,式(1-1)或式(1-2)所示的多核酚中的m×q个羟基之中的2个羟基形成与A1中的2个O原子结合的结合键,该多核酚中的m×q个羟基之中的其余羟基和/或T2的氢原子形成与A1中的B1的结合键,其中,与B1结合的结合键的数目的合计为n1,
(其中,在式(1-1)、式(1-2)中,T1各自独立地为卤素基团、碳原子数1~10的烷基、碳原子数6~18的芳基、碳原子数7~28的芳基烷基、碳原子数2~10的烷基羰基、碳原子数2~10的烷基羰氧基、碳原子数2~10的烷基羰基氨基、碳原子数7~28的芳基氧基烷基、碳原子数1~6的烷氧基或由它们的组合构成的有机基,q表示2~6的整数,在q为2的情况下,T2表示单键、或可以具有羟基的2价的碳原子数1~10的烃基、或可以具有羟基的2价的碳原子数7~28的芳基烷基,在q为3~6的情况下,T2表示可以具有羟基的3~6价的碳原子数1~10的烃基、或可以具有羟基的3~6价的碳原子数7~28的芳基烷基,m为1~7的整数,n为0≤n≤7-m的整数,而且式(1-2)中的2个T2可以彼此形成环,p为0或1。)
作为第3观点,根据第1观点所述的光固化性高低差基板被覆组合物,A1为由三(羟基苯基)甲烷衍生的基团、由四(羟基苯基)乙烷衍生的基团、或由四(羟基苯基)-对二甲苯衍生的基团,A2和A3为由苯环或萘环衍生的基团,
作为第4观点,根据第1观点~第3观点中任一项所述的光固化性高低差基板被覆组合物,X为羰基,
作为第5观点,根据第1观点所述的光固化性高低差基板被覆组合物,其中,聚合物包含的式(1)所示的单元结构为n1为1或2、n2和n3为0的单元结构,
作为第6观点,根据第1观点~第5观点中任一项所述的光固化性高低差基板被覆组合物,聚合物除了式(1)所示的单元结构以外,还包含式(3)所示的单元结构,
(在式(3)中,A4和A5分别表示可以包含杂原子的碳原子数6~48的芳香族环或表示含有可以包含杂原子的碳原子数6~48的芳香族环的烃基,B4和B5表示与上述式(2)中的B1、B2和B3同样的基团,n4为1≤n4≤4的整数,n5为0≤n5≤4的整数,n4+n5=1~8的整数。)
作为第7观点,根据第1观点~第6观点中任一项所述的光固化性高低差基板被覆组合物,聚合物除了式(1)所示的单元结构、或式(1)和式(3)所示的单元结构以外,还包含在式(1)中n1=0、n2=0、且n3=0的式(1’)所示的单元结构,
作为第8观点,根据第1观点~第7观点中任一项所述的光固化性高低差基板被覆组合物,高低差基板被覆组合物为制造半导体装置的光刻工序所使用的抗蚀剂下层膜形成用组合物,
作为第9观点,是一种被覆基板的制造方法,其包含下述工序:对具有高低差的基板涂布第1观点~第8观点中任一项所述的光固化性高低差基板被覆组合物的工序(i);以及进行曝光的工序(ii),
作为第10观点,根据第9观点所述的被覆基板的制造方法,在工序(i)涂布光固化性高低差基板被覆组合物后加入下述(ia)工序:在70~400℃的温度下,进行10秒~5分钟加热,
作为第11观点,根据第8观点或第10观点所述的被覆基板的制造方法,工序(ii)的曝光波长为150nm~248nm,
作为第12观点,根据第9观点~第11观点中任一项所述的被覆基板的制造方法,工序(ii)的曝光量为10mJ/cm2~3000mJ/cm2
作为第13观点,根据第9观点~第12观点中任一项所述的被覆基板的制造方法,基板具有开放区域(非图案区域)、与DENCE(密)和ISO(疏)的图案区域,图案的长宽比为0.1~10,
作为第14观点,根据第13观点所述的被覆基板的制造方法,开放区域与图案区域的Bias(涂布高低差)为1~50nm,
作为第15观点,是一种半导体装置的制造方法,利用第1观点~第8观点中任一项所述的高低差基板被覆组合物在具有高低差的基板上形成下层膜的工序;在上述下层膜上形成抗蚀剂膜的工序;通过光或电子射线的照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案对该下层膜进行蚀刻的工序;以及通过被图案化了的下层膜对半导体基板进行加工的工序,以及
作为第16观点,是一种半导体装置的制造方法,利用第1观点~第8观点中任一项所述的光固化性高低差基板被覆组合物在具有高低差的基板上形成下层膜的工序;在上述下层膜上形成硬掩模的工序;进一步在上述硬掩模上形成抗蚀剂膜的工序;通过光或电子射线的照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案对硬掩模进行蚀刻的工序;通过被图案化了的硬掩模对该下层膜进行蚀刻的工序;以及通过被图案化了的下层膜对半导体基板进行加工的工序。
发明的效果
本申请发明虽然涂布在基板上,并根据情况进一步通过采用加热进行的回流而填充于图案,但由于此时不具有热交联部位、酸催化剂,因此没有高低差基板被覆组合物的粘度上升,无论基板上的开放区域(非图案区域)、DENCE(密)和ISO(疏)的图案区域,都形成平坦的膜。而且,通过碳与碳的不饱和键的光照射而形成基于自由基种的不饱和键彼此的交联结构。本发明的高低差基板被覆组合物不包含交联剂和酸催化剂,涂布高低差基板被覆组合物而形成的高低差基板被覆膜(平坦化膜)可以通过来源于碳与碳的不饱和键的双键彼此的反应而交联。
由本发明的高低差基板被覆组合物形成的高低差基板被覆膜(平坦化膜)在热回流时不发生由交联剂和酸催化剂引起的交联反应,然后的光交联为不伴随脱气的光反应,因此不发生热收缩。由此同时满足对图案的填充性、与填充后的平坦化性,能够形成优异的平坦化膜。
本申请发明的光固化性高低差基板被覆组合物为对图案的填充性高,能够在基板上形成具有能够通过不发生脱气、热收缩的光固化而形成涂膜的平坦化性的被膜、并且在光照射后耐热性高的被膜的高低差基板被覆组合物。即使在光固化性高低差基板被覆膜上形成高温的硬掩模层的情况下也具有充分的耐热性。
具体实施方式
本申请发明为一种光固化性高低差基板被覆组合物,其包含聚合物,上述聚合物包含式(1)的单元结构。
在式(1)中,A1、A2和A3各自独立地表示可以包含杂原子的碳原子数6~100的芳香族环或表示包含可以包含杂原子的碳原子数6~100的芳香族环的烃基,B1、B2和B3各自独立地表示式(2),X表示羰基、磺酰基、-CR2 2-基、或-C(CF3)2-基,R2表示氢原子或碳原子数1~10的烷基,n1为1≤n1≤4的整数,n2为0≤n2≤4的整数,n3为0≤n3≤4的整数,n1+n2+n3=1~12的整数。作为杂原子,可举出氮原子、氧原子。
在上述包含碳原子数6~100的芳香族环的烃基中,烃基可以举出由下述烷基衍生的烃基。
碳原子数6~100的芳香族环可举出例如,苯环、联苯环、三联苯环(terphenylenering)、芴环、萘环、蒽环、芘环、咔唑环、吲哚环。
在式(2)中,R1表示碳原子数1~10的亚烷基、碳原子数1~10的亚烯基、碳原子数1~10的亚炔基、碳原子数6~40的亚芳基(该亚烷基、亚烯基、亚炔基和亚芳基可以被1个或2个以上氰基和/或1个或2个以上羟基任意取代。)、氧原子、羰基、硫原子、-C(O)-O-、-C(O)-NRa-、-NRb-或由它们的组合构成的基团,R2表示氢原子、或碳原子数1~10的烷基,虚线表示与A1、A2、或A3的结合。
其中,在R1中,氧原子、羰基、硫原子、-C(O)-O-、-C(O)-NRa-和-NRb-它们彼此不结合。
上述A1可以表示例如式(1-1)或式(1-2)所示的由多核酚衍生的基团。优选多核酚具有至少3个羟基,优选具有3~6个、或3~5个、或3~4个羟基。
这里,所谓多核酚所具有的羟基的数,是指m×q。
A1为从上述多核酚的m×q个羟基中除去了2个羟基的基团。例如式(1)的单元结构可以如下形成:通过向多核酚的m×q个羟基之中的2个羟基与包含X部分的二卤代二芳基进行脱卤化氢反应而形成的聚合物的其余羟基导入式(2)的官能团而形成。此时,聚合物中的羟基可以与烯丙基缩水甘油基醚、丙烯酸缩水甘油酯、甲基丙烯酸缩水甘油酯等含有不饱和基的环氧化合物进行加成反应,形成具有式(1)的单元结构的聚合物。
此外,聚合物中的羟基可以与烯丙基卤(例如烯丙基溴、烯丙基氯)反应而形成具有式(1)的单元结构的聚合物。
此外,聚合物中的羟基可以在通过表氯醇等环氧化合物进行了环氧化后,与相当于式(2)的不饱和羧酸(例如,丙烯酸、甲基丙烯酸)反应而形成具有式(1)的单元结构的聚合物。
在用于构成A1的式(1-1)或式(1-2)所示的多核酚中,T1各自独立地为卤素基团、碳原子数1~10的烷基、碳原子数6~18的芳基、碳原子数7~28的芳基烷基、碳原子数2~10的烷基羰基、碳原子数2~10的烷基羰氧基、碳原子数2~10的烷基羰基氨基、碳原子数7~28的芳基氧基烷基、碳原子数1~6的烷氧基或由它们的组合构成的有机基,q表示2~6的整数,在q为2的情况下,T2表示单键、或可以具有羟基的2价的碳原子数1~10的烃基、或可以具有羟基的2价的碳原子数7~28的芳基烷基,在q为3~6的情况下,T2表示可以具有羟基的3~6价的碳原子数1~10的烃基、或可以具有羟基的3~6价的碳原子数7~28的芳基烷基,m为1~7的整数,n为0≤n≤7-m的整数,而且式(1-2)中的2个T2可以彼此形成环,p为0或1。
式(1-1)或式(1-2)所示的多核酚中的m×q个羟基之中的2个羟基形成A1中的与2个O原子结合的结合键,该多核酚中的m×q个羟基之中的其余羟基和/或T2的氢原子形成A1中的与B1的结合键,其中,与B1结合的结合键的数目的合计为n1。
上述多核酚可以例示如下。
式(1)的聚合物优选A1为由三(羟基苯基)甲烷衍生的基团、由四(羟基苯基)乙烷衍生的基团、或由四(羟基苯基)-对二甲苯衍生的基团,且A2和A3具有由苯环或萘环衍生的基团,更优选为具有三(羟基苯基)甲烷的结构的基团、具有四(羟基苯基)乙烷的结构的基团或具有四(羟基苯基)-对二甲苯的结构的基团。
可以优选使用式(1)中X为羰基的情况。
此外,可以使用式(1)中的n1为1或2、n2和n3为0的聚合物。
上述高低差基板被覆组合物可以根据需要包含表面活性剂等添加剂。
该组合物的固体成分为0.1~70质量%、或0.1~60质量%、或0.2~30质量%、或0.3~15质量%。固体成分为从高低差基板被覆组合物中除去了溶剂后的全部成分的含有比例。在固体成分中可以以1~100质量%、或1~99.9质量%、或50~99.9质量%、或50~95质量%、或50~90质量%的比例含有上述聚合物。
本发明所使用的上述聚合物的平均分子量为600~1000000、或600~200000、或1500~15000。
上述聚合物可以在分子间或分子内通过碳与碳的不饱和键的光反应而形成交联结构,可以在分子内具有至少1个该碳原子间的不饱和键,即碳原子间的不饱和双键,此外也可以在分子内具有多个(例如1~1000个)。
作为上述烷基,可举出碳原子数1~10的烷基,可举出例如甲基、乙基、正丙基、异丙基、环丙基、正丁基、异丁基、仲丁基、叔丁基、环丁基、1-甲基-环丙基、2-甲基-环丙基、正戊基、1-甲基-正丁基、2-甲基-正丁基、3-甲基-正丁基、1,1-二甲基-正丙基、1,2-二甲基-正丙基、2,2-二甲基-正丙基、1-乙基-正丙基、环戊基、1-甲基-环丁基、2-甲基-环丁基、3-甲基-环丁基、1,2-二甲基-环丙基、2,3-二甲基-环丙基、1-乙基-环丙基、2-乙基-环丙基、正己基、1-甲基-正戊基、2-甲基-正戊基、3-甲基-正戊基、4-甲基-正戊基、1,1-二甲基-正丁基、1,2-二甲基-正丁基、1,3-二甲基-正丁基、2,2-二甲基-正丁基、2,3-二甲基-正丁基、3,3-二甲基-正丁基、1-乙基-正丁基、2-乙基-正丁基、1,1,2-三甲基-正丙基、1,2,2-三甲基-正丙基、1-乙基-1-甲基-正丙基、1-乙基-2-甲基-正丙基、环己基、1-甲基-环戊基、2-甲基-环戊基、3-甲基-环戊基、1-乙基-环丁基、2-乙基-环丁基、3-乙基-环丁基、1,2-二甲基-环丁基、1,3-二甲基-环丁基、2,2-二甲基-环丁基、2,3-二甲基-环丁基、2,4-二甲基-环丁基、3,3-二甲基-环丁基、1-正丙基-环丙基、2-正丙基-环丙基、1-异丙基-环丙基、2-异丙基-环丙基、1,2,2-三甲基-环丙基、1,2,3-三甲基-环丙基、2,2,3-三甲基-环丙基、1-乙基-2-甲基-环丙基、2-乙基-1-甲基-环丙基、2-乙基-2-甲基-环丙基和2-乙基-3-甲基-环丙基等。
作为上述碳原子数2~10的烷基羰基,可举出上述列举的各碳原子数1~9的烷基与羰基结合了的基团,作为碳原子数2~10的烷基羰氧基,可举出上述列举的各碳原子数1~9的烷基与羰氧基结合了的基团,作为碳原子数2~10的烷基羰基氨基,可举出上述列举的各碳原子数1~9的烷基与羰基氨基结合了的基团,作为碳原子数1~6的烷氧基,可举出上述列举的各碳原子数1~6的烷基与氧原子结合了的基团。
作为上述芳基,为碳原子数6~40的芳基,可举出苯基、联苯基、三联苯基(terphenylene group)、芴基、萘基、蒽基、芘基、咔唑基等,作为碳原子数6~18的芳基,也可举出苯基、联苯基、三联苯基、芴基、萘基、蒽基、芘基、咔唑基等。
作为碳原子数7~28的芳基烷基,可举出用上述碳原子数6~18的芳基取代上述碳原子数1~10的烷基而得的基团之中,碳原子数为7~28的基团,此外,作为上述碳原子数7~28的芳基氧基烷基,可举出上述碳原子数6~18的芳基经由氧原子而取代了上述碳原子数1~10的烷基而得的基团之中,碳原子数为7~28的基团。
作为上述烯基,可举出碳原子数1~10的烯基,可举出例如乙烯基、1-丙烯基、2-丙烯基、1-甲基-1-乙烯基、1-丁烯基、2-丁烯基、3-丁烯基、2-甲基-1-丙烯基、2-甲基-2-丙烯基、1-乙基乙烯基、1-甲基-1-丙烯基、1-甲基-2-丙烯基、1-戊烯基、2-戊烯基、3-戊烯基、4-戊烯基、1-正丙基乙烯基、1-甲基-1-丁烯基、1-甲基-2-丁烯基、1-甲基-3-丁烯基、2-乙基-2-丙烯基、2-甲基-1-丁烯基、2-甲基-2-丁烯基、2-甲基-3-丁烯基、3-甲基-1-丁烯基、3-甲基-2-丁烯基、3-甲基-3-丁烯基、1,1-二甲基-2-丙烯基、1-异丙基乙烯基等。
作为上述炔基,可举出碳原子数1~10的炔基,可举出例如乙炔基、1-丙炔基、2-丙炔基、1-丁炔基、2-丁炔基、3-丁炔基、1-甲基-2-丙炔基、1-戊炔基、2-戊炔基、3-戊炔基、4-戊炔基、1-甲基-2-丁炔基、1-甲基-3-丁炔基、2-甲基-3-丁炔基、3-甲基-1-丁炔基、1,1-二甲基-2-丙炔基、2-乙基-2-丙炔基、1-己炔基、2-己炔基、3-己炔基、4-己炔基、5-己炔基、1-甲基-2-戊炔基、1-甲基-3-戊炔基、1-甲基-4-戊炔基等。
上述亚烷基可例示由上述烷基衍生的2价有机基。作为上述亚芳基,可例示由上述芳基衍生的2价有机基。作为上述亚烯基,可例示由上述烯基衍生的2价有机基。作为上述亚炔基,可例示由上述炔基衍生的2价有机基。
聚合物除式(1)的单元结构以外,可以还含有式(3)的单元结构。
在式(3)中,A4和A5分别表示可以包含杂原子的碳原子数6~48的芳香族环或表示包含可以包含杂原子的碳原子数6~48的芳香族环的烃基,B4和B5与上述式(2)相同,n4为1≤n4≤4的整数,n5为0≤n5≤4的整数,n4+n5=1~8的整数。作为杂原子,可举出氮原子、氧原子。碳原子数6~48的芳香族环可举出例如,苯环、联苯环、三联苯环、芴环、萘环、蒽环、芘环、咔唑环、吲哚环。
在上述包含碳原子数6~48的芳香族环的烃基中,烃基可以举出由上述烷基衍生的烃基。
在聚合物包含式(1)的单元结构和式(3)的单元结构的情况下,式(1)的单元结构:式(3)的单元结构以摩尔比计,可以以1:0.1~1:10、或1:0.5~1:5、或1:1的比例含有。
本申请发明所使用的聚合物可以例示如下。
在上述式(1-4-1)~式(1-4-12)所示的聚合物中,如果显示上述的A1、A2、A3、A4、A5、B1、B2、B3、B4和T2,则如下所述。
本发明的高低差基板被覆组合物可以含有表面活性剂。作为上述表面活性剂,可以举出例如,聚氧乙烯月桂基醚、聚氧乙烯硬脂基醚、聚氧乙烯鲸蜡基醚、聚氧乙烯油基醚等聚氧乙烯烷基醚类、聚氧乙烯辛基苯基醚、聚氧乙烯壬基苯基醚等聚氧乙烯烷基芳基醚类、聚氧乙烯/聚氧丙烯嵌段共聚物类、失水山梨糖醇单月桂酸酯、失水山梨糖醇单棕榈酸酯、失水山梨糖醇单硬脂酸酯、失水山梨糖醇单油酸酯、失水山梨糖醇三油酸酯、失水山梨糖醇三硬脂酸酯等失水山梨糖醇脂肪酸酯类、聚氧乙烯失水山梨糖醇单月桂酸酯、聚氧乙烯失水山梨糖醇单棕榈酸酯、聚氧乙烯失水山梨糖醇单硬脂酸酯、聚氧乙烯失水山梨糖醇三油酸酯、聚氧乙烯失水山梨糖醇三硬脂酸酯等聚氧乙烯失水山梨糖醇脂肪酸酯类等非离子系表面活性剂、エフトップ〔注册商标〕EF301、エフトップEF303、エフトップEF352(三菱マテリアル电子化成(株)制)、メガファック〔注册商标〕F171、メガファックF173、メガファックR30、メガファックR40、メガファックR-30N、メガファックR-40LM(DIC(株)制)、フロラードFC430、フロラードFC431(住友スリーエム(株)制)、アサヒガード〔注册商标〕AG710、サーフロン〔注册商标〕S-382、サーフロンSC101、サーフロンSC102、サーフロンSC103、サーフロンSC104、サーフロンSC105、サーフロンSC106(旭硝子(株)制)等氟系表面活性剂、有机硅氧烷聚合物KP341(信越化学工业(株)制)。可以添加选自这些表面活性剂中的1种,也可以组合添加2种以上。上述表面活性剂的含有比例相对于从本发明的高低差基板被覆组合物除去后述溶剂后的固体成分为例如0.01质量%~5质量%。
作为在本发明中使聚合物溶解的溶剂,可以使用乙二醇单甲基醚、乙二醇单乙基醚、乙二醇单丁基醚、乙二醇单异丙基醚、乙二醇甲基醚乙酸酯、乙二醇乙基醚乙酸酯、甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯、二甘醇、二甘醇单甲基醚、二甘醇单乙基醚、二甘醇二甲基醚、二甘醇二乙基醚、二甘醇单乙基醚乙酸酯、丙二醇、丙二醇单甲基醚、丙二醇单丁基醚、丙二醇单甲基醚乙酸酯、丙二醇单乙基醚、丙二醇单乙基醚乙酸酯、丙二醇丙基醚乙酸酯、双丙甘醇单甲基醚、双丙甘醇单乙基醚、三甘醇二甲基醚、甲苯、二甲苯、苯乙烯、甲基乙基酮、环戊酮、环己酮、2-羟基丙酸乙酯、2-羟基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羟基乙酸乙酯、2-羟基-3-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙酸乙酯、乙酸丁酯、乳酸乙酯、乳酸丁酯、1-辛醇、乙二醇、己二醇、1,3-丙二醇、1-甲氧基-2-丁醇、环己醇、双丙酮醇、糠醇、四氢糠醇、丙二醇、苄醇、1,3-丁二醇、1,4-丁二醇、2,3-丁二醇、γ-丁基内酯、丙酮、甲基异丙基酮、二乙基酮、甲基异丁基酮、甲基正丁基酮、乙酸异丙基酮、乙酸正丙酯、乙酸异丁酯、甲醇、乙醇、异丙醇、叔丁醇、烯丙醇、正丙醇、2-甲基-2-丁醇、异丁醇、正丁醇、2-甲基-1-丁醇、1-戊醇、2-甲基-1-戊醇、2-乙基己醇、1-辛醇、乙二醇、己二醇、1,3-丙二醇、1-甲氧基-2-丁醇、双丙酮醇、糠醇、四氢糠醇、丙二醇、苄醇、异丙基醚、1,4-二烷、N,N-二甲基甲酰胺(日文原文:N,N-ジメチルパターンムアミド)、N,N-二甲基乙酰胺、N-甲基吡咯烷酮、1,3-二甲基-2-咪唑烷酮、二甲亚砜、N-环己基-2-吡咯烷酮等。这些有机溶剂可以单独使用,或以2种以上的组合使用。
接下来对使用了本发明的高低差基板被覆组合物的平坦化膜形成法进行说明,则在精密集成电路元件的制造所使用的基板(例如硅/二氧化硅被覆、玻璃基板、ITO基板等透明基板)上通过旋涂器、涂布机等适当的涂布方法涂布高低差基板被覆组合物后,进行烘烤(加热)、曝光来制作被膜。即,包含在具有高低差的基板上涂布高低差基板被覆组合物的工序(i)、以及进行曝光的工序(ii)来制造被覆基板。
使用旋涂器进行涂布时,例如可以以100~5000的转速进行10~180秒涂布。
上述基板具有开放区域(非图案区域)与DENCE(密)和ISO(疏)的图案区域,图案的长宽比可以使用0.1~10。
所谓非图案区域,表示在基板上没有图案(例如,孔穴、沟槽结构)的部分,DENCE(密)表示在基板上图案密集的部分,ISO(疏)表示在基板上图案与图案的间隔宽且图案点状存在的部分。图案的长宽比为图案深度相对于图案宽度的比率。图案深度通常为数百nm(例如,100~300nm左右),DENCE(密)为图案为数十nm(例如30~80nm)左右的图案以100nm左右的间隔密集存在的场所。ISO(疏)为图案为数百nm(例如200~1000nm左右)的图案点状存在的场所。
这里,作为高低差基板被覆膜(平坦化膜)的膜厚,优选为0.01~3.0μm。此外作为工序(ia),可以在涂布后加热,作为其条件,为在70~400℃、或100~250℃下10秒~5分钟、或30秒~2分钟。通过该加热,高低差基板被覆组合物回流(reflow)而形成平坦的高低差基板被覆膜(平坦化膜)。
作为工序(ia),在涂布后加热的情况下,可以添加交联剂和交联催化剂。该加热为热固化,辅助光交联,并且在高低差基板被覆组合物的底部不能充分地光固化的情况下有效地起作用,但可以在不对与由热固化引起的产生脱气相伴的平坦化性降低带来影响的范围内并用热固化。
作为该交联剂,可举出三聚氰胺系、取代脲系、或它们的聚合物系等。优选为具有至少2个交联形成取代基的交联剂,为甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯胍胺、丁氧基甲基化苯胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲、或甲氧基甲基化硫脲等化合物。此外,也可以使用这些化合物的缩合物。交联剂的添加量相对于全部固体成分为0.001~80质量%,优选为0.01~50质量%,进一步优选为0.05~40质量%。
作为用于促进交联反应的催化剂,可以添加酸和/或产酸剂。可以配合例如,对甲苯磺酸、三氟甲磺酸、吡啶对甲苯磺酸盐、水杨酸、5-磺基水杨酸、4-苯酚磺酸、樟脑磺酸、4-氯苯磺酸、苯二磺酸、1-萘磺酸、柠檬酸、苯甲酸、羟基苯甲酸、萘甲酸等酸性化合物、和/或2,4,4,6-四溴环己二烯酮、苯偶姻甲苯磺酸酯、2-硝基苄基甲苯磺酸酯、其它有机磺酸烷基酯等热产酸剂。配合量相对于全部固体成分为0.0001~20质量%,优选为0.0005~10质量%,进一步优选为0.01~3质量%。
工序(ii)的曝光光为近紫外线、远紫外线、或超紫外线(例如,EUV、波长13.5nm)等化学射线,可使用例如248nm(KrF激光)、193nm(ArF激光)、172nm(氙受激准分子光)、157nm(F2激光)等波长的光。此外,可以使用曝光波长为150nm~248nm的紫外光,进而可以优选使用172nm的波长。
通过该曝光进行高低差基板被覆膜(平坦化膜)的交联。工序(ii)的曝光量可以为10mJ/cm2~3000mJ/cm2。以该范围的曝光量发生光反应,形成交联,产生耐溶剂性。
期望这样形成的高低差基板被覆膜(平坦化膜)的开放区域与图案区域的Bias(涂布高低差)为零,但可以以成为1~50nm、或1~25nm的范围的方式平坦化。开放区域与DENCE面积的Bias(涂布高低差)为15~20nm左右,开放区域与ISO面积的Bias(涂布高低差)为1~10nm左右。
通过本发明获得的高低差基板被覆膜(平坦化膜)可以在其上被覆抗蚀剂膜,通过光刻将抗蚀剂膜进行曝光和显影而形成抗蚀剂图案,按照该抗蚀剂图案进行基板加工。在该情况下,高低差基板被覆膜(平坦化膜)为抗蚀剂下层膜,高低差基板被覆组合物为抗蚀剂下层膜形成用组合物。
通过在抗蚀剂下层膜上涂布抗蚀剂,通过规定的掩模进行光或电子射线的照射,进行显影、冲洗、干燥,从而可以获得良好的抗蚀剂图案。根据需要也可以进行光或电子射线的照射后加热(PEB:Post Exposure Bake)。进而,可以将通过上述工序而显影除去了抗蚀剂的部分的抗蚀剂下层膜利用干蚀刻除去,在基板上形成所希望的图案。
上述光致抗蚀剂的曝光光为近紫外线、远紫外线、或超紫外线(例如,EUV、波长13.5nm)等化学射线,可使用例如248nm(KrF激光)、193nm(ArF激光)、157nm(F2激光)等波长的光。光照射时只要是能够由抗蚀剂中的光产酸剂产生酸的方法,就可以没有特别限制地使用,曝光量采用1~3000mJ/cm2、或10~3000mJ/cm2、或10~1000mJ/cm2
此外,电子射线抗蚀剂的电子射线照射可以使用例如电子射线照射装置进行照射。
作为在本发明中具有使用光刻用抗蚀剂下层膜材料形成的抗蚀剂下层膜的抗蚀剂的显影液,可以使用氢氧化钠、氢氧化钾、碳酸钠、硅酸钠、偏硅酸钠、氨水等无机碱类、乙基胺、正丙基胺等伯胺类、二乙基胺、二-正丁基胺等仲胺类、三乙胺、甲基二乙基胺等叔胺类、二甲基乙醇胺、三乙醇胺等醇胺类、四甲基氢氧化铵、四乙基氢氧化铵、胆碱等季铵盐、吡咯、哌啶等环状胺类等碱类的水溶液。进一步,也可以在上述碱类的水溶液中添加适当量的异丙醇等醇类、非离子系等的表面活性剂而使用。它们之中优选的显影液为季铵盐,进一步优选为四甲基氢氧化铵和胆碱。
此外,作为显影液,可以使用有机溶剂。可以举出例如,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸异丙酯、乙酸戊酯、乙酸异戊酯、甲氧基乙酸乙酯、乙氧基乙酸乙酯、丙二醇单甲基醚、乙二醇单乙基醚乙酸酯、乙二醇单丙基醚乙酸酯、乙二醇单丁基醚乙酸酯、乙二醇单苯基醚乙酸酯、二甘醇单甲基醚乙酸酯、二甘醇单丙基醚乙酸酯、二甘醇单乙基醚乙酸酯、二甘醇单苯基醚乙酸酯、二甘醇单丁基醚乙酸酯、二甘醇单乙基醚乙酸酯、2-甲氧基丁基乙酸酯、3-甲氧基丁基乙酸酯、4-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-乙基-3-甲氧基丁基乙酸酯、丙二醇单甲基醚乙酸酯、丙二醇单乙基醚乙酸酯、丙二醇单丙基醚乙酸酯、2-乙氧基丁基乙酸酯、4-乙氧基丁基乙酸酯、4-丙氧基丁基乙酸酯、2-甲氧基戊基乙酸酯、3-甲氧基戊基乙酸酯、4-甲氧基戊基乙酸酯、2-甲基-3-甲氧基戊基乙酸酯、3-甲基-3-甲氧基戊基乙酸酯、3-甲基-4-甲氧基戊基乙酸酯、4-甲基-4-甲氧基戊基乙酸酯、丙二醇二乙酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯、碳酸乙酯、碳酸丙酯、碳酸丁酯、丙酮酸甲酯、丙酮酸乙酯、丙酮酸丙酯、丙酮酸丁酯、乙酰乙酸甲酯、乙酰乙酸乙酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、2-羟基丙酸甲酯、2-羟基丙酸乙酯、甲基-3-甲氧基丙酸酯、乙基-3-甲氧基丙酸酯、乙基-3-乙氧基丙酸酯、丙基-3-甲氧基丙酸酯等作为例子。进一步,也可以在这些显影液中加入表面活性剂等。作为显影的条件,从温度5~50℃、时间10~600秒中适当选择。
在本发明中,可以经过下述工序来制造半导体装置:通过抗蚀剂下层膜形成用组合物在半导体基板上形成该抗蚀剂下层膜的工序;在该抗蚀剂下层膜上形成抗蚀剂膜的工序;通过光或电子射线照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案对该抗蚀剂下层膜进行蚀刻的工序;以及通过被图案化了的抗蚀剂下层膜对半导体基板进行加工的工序。
今后,如果抗蚀剂图案的微细化进行,则产生分辨率的问题、抗蚀剂图案在显影后倒塌这样的问题,期望抗蚀剂的薄膜化。因此,难以获得对基板加工而言充分的抗蚀剂图案膜厚,需要不仅使抗蚀剂图案,而且使在抗蚀剂与进行加工的半导体基板之间制作的抗蚀剂下层膜也具有作为基板加工时的掩模的功能的工艺。作为这样的工艺用的抗蚀剂下层膜,与以往的高蚀刻速率性抗蚀剂下层膜不同,要求具有与抗蚀剂接近的干蚀刻速度的选择比的光刻用抗蚀剂下层膜、具有比抗蚀剂小的干蚀刻速度的选择比的光刻用抗蚀剂下层膜、具有比半导体基板小的干蚀刻速度的选择比的光刻用抗蚀剂下层膜。此外,也能够对这样的抗蚀剂下层膜赋予防反射能力,可以兼具以往的防反射膜的功能。
另一方面,为了获得微细的抗蚀剂图案,也开始使用在抗蚀剂下层膜干蚀刻时使抗蚀剂图案和抗蚀剂下层膜比抗蚀剂显影时的图案宽度细的工艺。作为这样的工艺用的抗蚀剂下层膜,与以往的高蚀刻速率性防反射膜不同,要求具有与抗蚀剂接近的干蚀刻速度的选择比的抗蚀剂下层膜。此外,也能够对这样的抗蚀剂下层膜赋予防反射能力,可以兼具以往的防反射膜的功能。
在本发明中,可以在基板上形成本发明的抗蚀剂下层膜后,在抗蚀剂下层膜上直接涂布抗蚀剂,或根据需要将1层~多层涂膜材料在抗蚀剂下层膜上成膜后涂布抗蚀剂。由此抗蚀剂的图案宽度变窄,即使在为了防止图案倒塌而将抗蚀剂薄薄被覆的情况下,也能够通过选择适当的蚀刻气体而进行基板的加工。
即,可以经过下述工序来制造半导体装置:通过抗蚀剂下层膜形成用组合物在半导体基板上形成该抗蚀剂下层膜的工序;在该抗蚀剂下层膜上形成由含有硅成分等的涂膜材料形成的硬掩模或由蒸镀形成的硬掩模(例如,氮化氧化硅)的工序;进一步在该硬掩模上形成抗蚀剂膜的工序;通过光或电子射线的照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案将硬掩模用卤素系气体进行蚀刻的工序;通过被图案化了的硬掩模将该抗蚀剂下层膜用氧系气体或氢系气体进行蚀刻的工序;以及通过被图案化了的抗蚀剂下层膜用卤素系气体对半导体基板进行加工的工序。
在本发明中光刻用抗蚀剂下层膜形成用组合物,在考虑了作为防反射膜的效果的情况下,由于将光吸收部位引入到骨架中,因此在加热干燥时没有向光致抗蚀剂中的扩散物,此外,光吸收部位具有充分大的吸光性能。因此反射光防止效果好。
在本发明中光刻用抗蚀剂下层膜形成用组合物的热稳定性高,能够防止由烧成时的分解物引起的对上层膜的污染,此外,可以使烧成工序的温度裕量具有余裕。
进一步,在本发明中,光刻用抗蚀剂下层膜材料根据工艺条件能够作为具有防止光的反射的功能、和进一步防止基板与光致抗蚀剂的相互作用或防止光致抗蚀剂所使用的材料或光致抗蚀剂的曝光时生成的物质对基板的不良作用的功能的膜使用。
实施例
(树脂1的合成)
在三口烧瓶中加入1,1,1-三(4-羟基苯基)乙烷(东京化成工业株式会社制)50.0g、4,4-二氟二苯甲酮(东京化成工业株式会社制制)35.6g、碳酸钾(和光纯药工业株式会社制)31.37g、N-甲基吡咯烷酮(关东化学株式会社制)272.9g。然后加热直到150℃,搅拌约2.5小时。在反应结束后,用N-甲基吡咯烷酮180.8g稀释,通过过滤将碳酸钾除去。相对于所得的滤液加入1N-HCl而形成中性后,搅拌片刻。将该稀释溶液滴加到甲醇/水=90/10(vol/vol)溶液中,使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了54.8g的树脂1。所得的聚合物相当于式(2-1)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为2,900。
(树脂2的合成)
在三口烧瓶中加入TEP-DF(旭有机材株式会社制)30.0g、4,4-二氟二苯甲酮(东京化成工业株式会社制制)16.43g、碳酸钾(和光纯药工业株式会社制)14.47g、N-甲基吡咯烷酮(关东化学株式会社制)142.1g。然后加热直到150℃,搅拌约4小时。在反应结束后,用N-甲基吡咯烷酮261.1g稀释,通过过滤将碳酸钾除去。相对于所得的滤液加入1N-HCl而形成中性后,搅拌片刻。将该稀释溶液滴加到甲醇/水=90/10(vol/vol)溶液中,使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了29.1g的树脂2。所得的聚合物相当于式(2-2)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为2,900。
(树脂3的合成)
在三口烧瓶中加入TEP-TPA(旭有机材株式会社制)30.0g、4,4-二氟二苯甲酮(东京化成工业株式会社制制)13.81g、碳酸钾(和光纯药工业株式会社制)12.16g、N-甲基吡咯烷酮(关东化学株式会社制)130.61g。然后加热直到150℃,搅拌约4小时。反应结束后,用N-甲基吡咯烷酮252.3g稀释,通过过滤将碳酸钾除去。相对于所得的滤液加入1N-HCl而形成中性后,搅拌片刻。将该稀释溶液滴加到甲醇/水=90/10(vol/vol)溶液中,使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了18.6g的树脂3。所得的聚合物相当于式(2-3)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为3,400。
(合成例1)
在茄型烧瓶中加入5.00g树脂1、烯丙基缩水甘油基醚(东京化成工业株式会社制)3.54g、氢醌(东京化成工业株式会社制)0.02g、乙基三苯基溴化(北兴化学工业株式会社制)0.58g、N-甲基吡咯烷酮(关东化学株式会社制)9.17g、丙二醇单甲基醚乙酸酯21.4g。然后加热直到100℃,搅拌约24小时。
在反应结束后,用N-甲基吡咯烷酮5.96g稀释,滴加到甲醇/水=90/10(vol/vol)溶液中,从而使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而获得了3.37g的树脂2。所得的聚合物相当于式(1-4-1)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为3,700。
(合成例2)
在茄型烧瓶中加入5.00g树脂1、甲基丙烯酸缩水甘油酯(东京化成工业株式会社制)7.34g、氢醌(东京化成工业株式会社制)0.03g、乙基三苯基溴化(北兴化学工业株式会社制)0.96g、N-甲基吡咯烷酮(关东化学株式会社制)9.33g、丙二醇单甲基醚乙酸酯21.8g。然后加热直到100℃,搅拌约18小时。
在反应结束后,用N-甲基吡咯烷酮22.2g稀释,滴加到甲醇/水=90/10(vol/vol)溶液中,从而使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了4.85g的树脂3。所得的聚合物相当于式(1-4-2)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为3,900。
(合成例3)
在茄型烧瓶中加入5.00g的树脂1、烯丙基溴(东京化成工业株式会社制)5.00g、碳酸钾(和光纯药工业株式会社制)3.97g、N-甲基吡咯烷酮(关东化学株式会社制)20.59g。然后加热直到100℃,搅拌约24小时。
在反应结束后,用N-甲基吡咯烷酮15.1g稀释,通过过滤将碳酸钾除去。对所得的滤液加入1N-HCl而形成中性后,搅拌片刻。将该稀释溶液滴加到甲醇/水=90/10(vol/vol)溶液中,使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了4.00g的树脂4。所得的聚合物相当于式(1-4-3)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为3,100。
(合成例4)
在茄型烧瓶中加入10.00g树脂2、甲基丙烯酸缩水甘油酯(东京化成工业株式会社制)12.68g、氢醌(东京化成工业株式会社制)0.05g、乙基三苯基溴化(北兴化学工业株式会社制)1.16g、N-甲基吡咯烷酮(关东化学株式会社制)17.07g、丙二醇单甲基醚乙酸酯39.84g。然后加热直到100℃,搅拌约12小时。
在反应结束后,用N-甲基吡咯烷酮40.0g稀释,滴加到甲醇溶液中,从而使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了15.02g树脂5。所得的聚合物相当于式(1-4-11)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为7,400。
(合成例5)
在茄型烧瓶中加入10.00g树脂3、甲基丙烯酸缩水甘油酯(东京化成工业株式会社制)11.24g、氢醌(东京化成工业株式会社制)0.04g、乙基三苯基溴化(北兴化学工业株式会社制)1.47g、N-甲基吡咯烷酮(关东化学株式会社制)15.93g、丙二醇单甲基醚乙酸酯37.16g。然后加热直到100℃,搅拌约12小时。
在反应结束后,用N-甲基吡咯烷酮37.6g稀释,滴加到甲醇溶液中,从而使其再沉淀。将所得的沉淀物抽滤后,将滤物在60℃下减压干燥一晚。进而,获得了13.12g树脂6。所得的聚合物相当于式(1-4-12)。通过GPC以聚苯乙烯换算测定的重均分子量Mw为5,200。
(比较合成例1)
准备上述树脂1作为比较合成例1的树脂。
(比较合成例2)
在含有环氧基的苯稠环式化合物(商品名:EPICLON HP-4700,环氧值:165g/eq.,DIC(株)制)10.00g、丙烯酸4.37g、乙基三苯基溴化0.56g、氢醌0.03g中加入丙二醇单甲基醚34.91g,在氮气气氛下,在100℃下加热搅拌21小时。在所得的溶液中加入阳离子交换树脂(制品名:ダウX〔注册商标〕550A,ムロマチテクノス(株))15g、阴离子交换树脂(商品名:アンバーライト〔注册商标〕15JWET,オルガノ(株))15g,在室温下进行了4小时离子交换处理。将离子交换树脂分离后,获得了化合物溶液。所得的化合物相当于式(2-2),通过GPC以聚苯乙烯换算测定的重均分子量Mw为1,400。
(实施例1)
将合成例1中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为20.60质量%)。在该树脂溶液2.50g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.05g、丙二醇单甲基醚乙酸酯2.60g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(实施例2)
将合成例2中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为18.67质量%)。在该树脂溶液2.80g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.05g、丙二醇单甲基醚乙酸酯2.38g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(实施例3)
将合成例3中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为20.00质量%)。在该树脂溶液2.00g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.04g、丙二醇单甲基醚乙酸酯1.97g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(实施例4)
将合成例4中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为13.65质量%)。在该树脂溶液5.85g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.16g、丙二醇单甲基醚乙酸酯3.99g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(实施例5)
将合成例5中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为18.53质量%)。在该树脂溶液4.31g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.16g、丙二醇单甲基醚乙酸酯5.53g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(比较例1)
将比较合成例1中获得的树脂溶解于丙二醇单甲基醚乙酸酯后,经过离子交换而获得了树脂溶液(固体成分为16.94质量%)。在该树脂溶液3.00g中加入含有1质量%表面活性剂(DIC(株)制,商品名メガファックR-40)的丙二醇单甲基醚乙酸酯0.06g、丙二醇单甲基醚乙酸酯2.04g,利用口径0.1μm的聚四氟乙烯制微型过滤器进行过滤,调制出抗蚀剂下层膜形成用组合物的溶液。
(比较例2)
在比较合成例2中获得的树脂溶液(固体成分为25.02质量%)4.19g中加入表面活性剂(DIC(株)制,商品名メガファックR-40)0.001g、丙二醇单甲基醚6.62g、丙二醇单甲基醚乙酸酯4.19g,调制出抗蚀剂下层膜形成用组合物的溶液。
(光固化试验)
分别使用旋涂器将实施例1~5和比较例1~2中调制的抗蚀剂下层膜形成用组合物涂布(旋转涂布)在硅晶片上。在电热板上在215℃下加热1分钟,形成了膜厚约200nm的被膜(抗蚀剂下层膜)。将该抗蚀剂下层膜被覆膜通过ウシオ電機(株)制作的、使用了UV照射单元(波长172nm)的紫外线照射装置进行500mJ/cm2的紫外线照射,确认了光照射(紫外线照射)下的溶剂剥离性。关于溶剂剥离性,在紫外线照射后的涂布膜中浸渍丙二醇单甲基醚与丙二醇单甲基醚乙酸酯的7:3的混合溶剂1分钟,在旋转干燥后在100℃下烘烤1分钟,测定了膜厚。此外,也算出光照照射前后的膜收缩率(表1的结果)。
表1抗蚀剂下层膜的光固化特性评价
(光学常数测定)
分别使用旋转涂布机将实施例1~5和比较例1~2中调制的抗蚀剂下层膜形成用组合物的溶液涂布在硅晶片上。在电热板上在215℃下烧成1分钟,形成了抗蚀剂下层膜(膜厚0.05μm)。将这些抗蚀剂下层膜,使用光谱椭偏仪测定了波长193nm和248nm下的折射率(n值)和光学吸光系数(k值,也称为衰减系数)(表2的结果)。此外,将该抗蚀剂下层膜被覆膜通过ウシオ電機(株)制作的、使用了UV照射单元(波长172nm)的紫外线照射装置进行500mJ/cm2的紫外线照射,测定了光照射(紫外线照射)后的波长193nm和248nm下的折射率和光学吸光系数(表3的结果)。
表2光照射前的折射率n和光学吸光系数k
表3光照射后的折射率n和光学吸光系数k
(干蚀刻速度的测定)
干蚀刻速度的测定所使用的蚀刻器和蚀刻气体使用了以下的蚀刻器和蚀刻气体。
RIE-10NR(サムコ制):CF4
分别使用旋转涂布机将实施例1~5和比较例1~2中调制的抗蚀剂下层膜形成用组合物的溶液涂布在硅晶片上。在电热板上在215℃下烧成1分钟而形成了抗蚀剂下层膜(膜厚0.20μm)。使用CF4气体作为蚀刻气体而测定干蚀刻速度,进行了实施例1~3和比较例1~2的抗蚀剂下层膜的干蚀刻速度的比较。此外,将该抗蚀剂下层膜被覆膜通过ウシオ電機(株)制作的、使用了UV照射单元(波长172nm)的紫外线照射装置进行500mJ/cm2的紫外线照射,进行了实施例1~3和比较例1~2的抗蚀剂下层膜的干蚀刻速度的比较。将结果示于表4中。干蚀刻速度比为(抗蚀剂下层膜)/(KrF光致抗蚀剂)的干蚀刻速度比(表4的结果)。
表4干蚀刻速度比
(抗蚀剂下层膜的耐热性试验)
分别使用旋转涂布机将实施例1~5和比较例1~2中调制的抗蚀剂下层膜形成用组合物的溶液涂布在硅晶片上,在电热板上在215℃下烧成60秒,形成了抗蚀剂下层膜(膜厚0.20μm)。此外,将该抗蚀剂下层膜被覆膜通过ウシオ電機(株)制作的、使用了UV照射单元(波长172nm)的紫外线照射装置进行了500mJ/cm2的紫外线照射。将所得的膜从室温(约20℃)以一分钟10℃的比例升温加热而在大气中进行热重量分析,追踪了重量减少的经时变化。将结果示于表5中。
表5抗蚀剂下层膜的5%重量减少的温度
(对高低差基板的被覆试验)
作为高低差被覆性的评价,用200nm膜厚的SiO2基板,进行了沟槽宽度50nm、间距100nm的密图案区域(DENSE)和未形成图案的开放区域(OPEN)的被覆膜厚的比较。将实施例1~3和比较例1~2的抗蚀剂下层膜形成用组合物涂布在上述基板上后,在215℃下烧成60秒而形成了抗蚀剂下层膜。抗蚀剂下层膜形成用组合物以满版基板上的膜厚成为150nm的方式调制。使用日立ハイテクノロジーズ(株)制扫描型电子显微镜(S-4800)对该基板的高低差被覆性进行观察,测定高低差基板的密区域(图案部)与开放区域(无图案部)的膜厚差(为密区域与开放区域的涂布高低差,称为偏斜(bias))来评价了平坦化性。这里,所谓平坦化性,是指在存在图案的部分(密区域(图案部))与不存在图案的部分(开放区域(无图案部)),其上部存在的被涂布了的被覆物的膜厚差(Iso-dense偏斜(bias))小(表6的结果)。
表6抗蚀剂下层膜的平坦化性
产业可利用性
本申请发明的光固化性高低差基板被覆组合物提供对图案的填充性高,且能够在基板上形成下述被膜的高低差基板被覆组合物,所述被膜是具有能够通过不发生脱气、热收缩的光固化而形成涂膜的平坦化性的被膜、并且在光照射后耐热性高的被膜。

Claims (16)

1.一种光固化性高低差基板被覆组合物,其包含聚合物,所述聚合物包含式(1)所示的单元结构,
在式(1)中,A1、A2和A3各自独立地表示可以包含杂原子的碳原子数6~100的芳香族环或表示含有可以包含杂原子的碳原子数6~100的芳香族环的烃基,B1、B2和B3各自独立地表示式(2),X表示羰基、磺酰基、-CR2 2-基、或-C(CF3)2-基,n1为1≤n1≤4的整数,n2为0≤n2≤4的整数,n3为0≤n3≤4的整数,n1+n2+n3=1~12的整数,
在式(2)中,R1表示碳原子数1~10的亚烷基、碳原子数1~10的亚烯基、碳原子数1~10的亚炔基、碳原子数6~40的亚芳基、氧原子、羰基、硫原子、-C(O)-O-、-C(O)-NRa-、-NRb-或由它们的组合构成的基团,该亚烷基、亚烯基、亚炔基和亚芳基可以被1个或2个以上氰基和/或1个或2个以上羟基任意取代,Ra表示氢原子或碳原子数1~10的烷基,Rb表示氢原子、碳原子数1~10的烷基或碳原子数2~10的烷基羰基,R2表示氢原子、或碳原子数1~10的烷基,虚线表示与A1、A2、或A3的结合。
2.根据权利要求1所述的光固化性高低差基板被覆组合物,关于A1,式(1-1)或式(1-2)所示的多核酚中的m×q个羟基之中的2个羟基形成A1的与2个O原子结合的结合键,该多核酚中的m×q个羟基之中的其余羟基和/或T2的氢原子形成A1的与B1的结合键,其中,与B1结合的结合键的数目的合计为n1,
其中,在式(1-1)、式(1-2)中,T1各自独立地为卤素基团、碳原子数1~10的烷基、碳原子数6~18的芳基、碳原子数7~28的芳基烷基、碳原子数2~10的烷基羰基、碳原子数2~10的烷基羰氧基、碳原子数2~10的烷基羰基氨基、碳原子数7~28的芳基氧基烷基、碳原子数1~6的烷氧基或由它们的组合构成的有机基,q表示2~6的整数,在q为2的情况下,T2表示单键、或可以具有羟基的2价的碳原子数1~10的烃基、或可以具有羟基的2价的碳原子数7~28的芳基烷基,在q为3~6的情况下,T2表示可以具有羟基的3~6价的碳原子数1~10的烃基、或可以具有羟基的3~6价的碳原子数7~28的芳基烷基,m为1~7的整数,n为0≤n≤7-m的整数,而且式(1-2)中的2个T2可以彼此形成环,p为0或1。
3.根据权利要求1所述的光固化性高低差基板被覆组合物,A1为由三(羟基苯基)甲烷衍生的基团、由四(羟基苯基)乙烷衍生的基团、或由四(羟基苯基)-对二甲苯衍生的基团,A2和A3为由苯环或萘环衍生的基团。
4.根据权利要求1~3中任一项所述的光固化性高低差基板被覆组合物,X为羰基。
5.根据权利要求1所述的光固化性高低差基板被覆组合物,其中,聚合物包含的式(1)所示的单元结构中的n1为1或2、n2和n3为0。
6.根据权利要求1~5中任一项所述的光固化性高低差基板被覆组合物,聚合物除了式(1)所示的单元结构以外,还包含式(3)所示的单元结构,
在式(3)中,A4和A5分别表示可以包含杂原子的碳原子数6~48的芳香族环或表示含有可以包含杂原子的碳原子数6~48的芳香族环的烃基,B4和B5表示与上述式(2)中的B1、B2和B3同样的基团,n4为1≤n4≤4的整数,n5为0≤n5≤4的整数,n4+n5=1~8的整数。
7.根据权利要求1~6中任一项所述的光固化性高低差基板被覆组合物,聚合物除了式(1)所示的单元结构、或式(1)和式(3)所示的单元结构以外,还包含在式(1)中n1=0、n2=0、且n3=0的式(1’)所示的单元结构。
8.根据权利要求1~7中任一项所述的光固化性高低差基板被覆组合物,高低差基板被覆组合物为制造半导体装置的光刻工序所使用的抗蚀剂下层膜形成用组合物。
9.一种被覆基板的制造方法,其包含下述工序:对具有高低差的基板涂布权利要求1~8中任一项所述的光固化性高低差基板被覆组合物的工序(i);以及进行曝光的工序(ii)。
10.根据权利要求9所述的被覆基板的制造方法,在工序(i)涂布光固化性高低差基板被覆组合物后加入下述(ia)工序:在70~400℃的温度下进行10秒~5分钟加热。
11.根据权利要求9或10所述的被覆基板的制造方法,工序(ii)的曝光波长为150nm~248nm。
12.根据权利要求9~11中任一项所述的被覆基板的制造方法,工序(ii)的曝光量为10mJ/cm2~3000mJ/cm2
13.根据权利要求9~12中任一项所述的被覆基板的制造方法,基板具有开放区域即非图案区域、与DENCE的图案区域即密的图案区域和ISO的图案区域即疏的图案区域,图案的长宽比为0.1~10。
14.根据权利要求13所述的被覆基板的制造方法,开放区域与图案区域的Bias即涂布高低差为1~50nm。
15.一种半导体装置的制造方法,其包含下述工序:利用权利要求1~8中任一项所述的高低差基板被覆组合物在具有高低差的基板上形成下层膜的工序;在所述下层膜上形成抗蚀剂膜的工序;通过光或电子射线的照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案对该下层膜进行蚀刻的工序;以及通过被图案化了的下层膜对半导体基板进行加工的工序。
16.一种半导体装置的制造方法,其包含下述工序:利用权利要求1~8中任一项所述的光固化性高低差基板被覆组合物在具有高低差的基板上形成下层膜的工序;在所述下层膜上形成硬掩模的工序;进一步在所述硬掩模上形成抗蚀剂膜的工序;通过光或电子射线的照射与显影而形成抗蚀剂图案的工序;通过抗蚀剂图案对硬掩模进行蚀刻的工序;通过被图案化了的硬掩模对该下层膜进行蚀刻的工序;以及通过被图案化了的下层膜对半导体基板进行加工的工序。
CN201880022769.XA 2017-04-03 2018-03-30 包含具有光交联基的聚醚树脂的高低差基板被覆组合物 Active CN110462520B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2017-074044 2017-04-03
JP2017074044 2017-04-03
PCT/JP2018/013894 WO2018186310A1 (ja) 2017-04-03 2018-03-30 光架橋基を有するポリエーテル樹脂を含む段差基板被覆組成物

Publications (2)

Publication Number Publication Date
CN110462520A true CN110462520A (zh) 2019-11-15
CN110462520B CN110462520B (zh) 2023-03-03

Family

ID=63712249

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880022769.XA Active CN110462520B (zh) 2017-04-03 2018-03-30 包含具有光交联基的聚醚树脂的高低差基板被覆组合物

Country Status (6)

Country Link
US (1) US10871712B2 (zh)
JP (2) JP7303495B2 (zh)
KR (1) KR102455502B1 (zh)
CN (1) CN110462520B (zh)
TW (1) TWI768024B (zh)
WO (1) WO2018186310A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113050373A (zh) * 2019-12-26 2021-06-29 信越化学工业株式会社 有机膜形成材料、有机膜的形成方法、图案形成方法、以及化合物

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3959565A1 (en) 2019-04-26 2022-03-02 Merck Patent GmbH Method for manufacturing cured film and use of the same
JP7145143B2 (ja) * 2019-12-12 2022-09-30 信越化学工業株式会社 有機膜形成材料、有機膜の形成方法、パターン形成方法、および化合物
JPWO2022107759A1 (zh) * 2020-11-19 2022-05-27
KR102655344B1 (ko) * 2022-05-23 2024-04-05 한인정밀화학(주) 하드마스크용 조성물

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130189533A1 (en) * 2010-10-14 2013-07-25 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition for lithography containing polyether structure-containing resin
WO2014109186A1 (ja) * 2013-01-09 2014-07-17 日産化学工業株式会社 レジスト下層膜形成組成物
CN104541205A (zh) * 2012-08-21 2015-04-22 日产化学工业株式会社 含有具有多核苯酚的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
CN105209974A (zh) * 2013-05-13 2015-12-30 日产化学工业株式会社 含有使用双酚醛的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
WO2016159358A1 (ja) * 2015-04-03 2016-10-06 日産化学工業株式会社 光架橋基を有する段差基板被覆組成物

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100962740B1 (ko) 2001-04-17 2010-06-09 브레우어 사이언스 인코포레이션 개선된 스핀 보울 상화성을 갖는 반사 방지 코팅 조성물
JP4993119B2 (ja) 2005-04-19 2012-08-08 日産化学工業株式会社 光架橋硬化のレジスト下層膜を形成するためのレジスト下層膜形成組成物
KR101436336B1 (ko) 2005-12-06 2014-09-01 닛산 가가쿠 고교 가부시키 가이샤 광가교 경화의 레지스트 하층막을 형성하기 위한 규소 함유레지스트 하층막 형성 조성물
KR101457076B1 (ko) 2006-10-12 2014-10-31 닛산 가가쿠 고교 가부시키 가이샤 광가교 경화에 의한 레지스트 하층막을 이용하는 반도체 장치의 제조방법
JP5158381B2 (ja) 2007-07-11 2013-03-06 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
WO2013080929A1 (ja) * 2011-12-01 2013-06-06 Jsr株式会社 多層レジストプロセスに用いられるレジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP5925721B2 (ja) * 2012-05-08 2016-05-25 信越化学工業株式会社 有機膜材料、これを用いた有機膜形成方法及びパターン形成方法
US9244353B2 (en) * 2012-08-10 2016-01-26 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition
EP2899593A4 (en) * 2012-09-10 2016-06-22 Jsr Corp COMPOSITION FOR FORMING A LACQUER LAYER FILM AND METHOD FOR STRUCTURED FORMING
WO2016208518A1 (ja) * 2015-06-22 2016-12-29 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
JP6880537B2 (ja) * 2015-07-22 2021-06-02 三菱瓦斯化学株式会社 化合物、樹脂、リソグラフィー用下層膜形成材料、リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜及びレジストパターン形成方法、回路パターン形成方法、及び、精製方法
US10053539B2 (en) * 2015-12-01 2018-08-21 Jsr Corporation Composition for film formation, film, production method of patterned substrate, and compound
CN109075060B (zh) * 2016-03-08 2024-03-29 日产化学株式会社 具有iii族氮化物系化合物层的半导体基板的制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130189533A1 (en) * 2010-10-14 2013-07-25 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition for lithography containing polyether structure-containing resin
CN104541205A (zh) * 2012-08-21 2015-04-22 日产化学工业株式会社 含有具有多核苯酚的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
WO2014109186A1 (ja) * 2013-01-09 2014-07-17 日産化学工業株式会社 レジスト下層膜形成組成物
CN105209974A (zh) * 2013-05-13 2015-12-30 日产化学工业株式会社 含有使用双酚醛的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
WO2016159358A1 (ja) * 2015-04-03 2016-10-06 日産化学工業株式会社 光架橋基を有する段差基板被覆組成物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113050373A (zh) * 2019-12-26 2021-06-29 信越化学工业株式会社 有机膜形成材料、有机膜的形成方法、图案形成方法、以及化合物

Also Published As

Publication number Publication date
JPWO2018186310A1 (ja) 2020-02-13
CN110462520B (zh) 2023-03-03
TW201900726A (zh) 2019-01-01
JP2023100639A (ja) 2023-07-19
KR102455502B1 (ko) 2022-10-17
TWI768024B (zh) 2022-06-21
WO2018186310A1 (ja) 2018-10-11
KR20190131543A (ko) 2019-11-26
JP7303495B2 (ja) 2023-07-05
US20200301278A1 (en) 2020-09-24
US10871712B2 (en) 2020-12-22

Similar Documents

Publication Publication Date Title
CN110462520A (zh) 包含具有光交联基的聚醚树脂的高低差基板被覆组合物
CN104541205B (zh) 含有具有多核苯酚的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
KR102382731B1 (ko) 막밀도가 향상된 레지스트 하층막을 형성하기 위한 조성물
TWI765872B (zh) 含有吲哚并咔唑酚醛清漆樹脂之光阻下層膜形成組成物
CN107406713B (zh) 具有光交联基的高低差基板被覆用组合物
KR102593861B1 (ko) 탄소원자간의 불포화결합에 의한 플라즈마 경화성 화합물을 포함하는 단차기판 피복막 형성 조성물
TWI751141B (zh) 包含具有藉由碳原子間之不飽和鍵之光交聯基之化合物的段差基板被覆組成物
CN109643065A (zh) 包含含有三芳基二胺的酚醛清漆树脂的抗蚀剂下层膜形成用组合物
CN105431780A (zh) 含有包含含氮环化合物的聚合物的抗蚀剂下层膜形成用组合物
CN109891321A (zh) 包含具有二羟基的有机基的含有硅的抗蚀剂下层膜形成用组合物
CN102472973A (zh) 含有带脂肪族环和芳香族环的树脂的光刻用抗蚀剂下层膜形成用组合物
JP2023072048A (ja) 硬化性官能基を有する化合物を含む段差基板被覆組成物
KR102549467B1 (ko) 가교성 화합물을 함유하는 광경화성 단차기판 피복 조성물
CN109563234A (zh) 光固化性组合物及半导体装置的制造方法
JP2015172606A (ja) リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
TW201940979A (zh) 具有二硫化物結構之阻劑下層膜形成組成物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant