CN110156343B - 覆板及其使用方法 - Google Patents

覆板及其使用方法 Download PDF

Info

Publication number
CN110156343B
CN110156343B CN201910110074.3A CN201910110074A CN110156343B CN 110156343 B CN110156343 B CN 110156343B CN 201910110074 A CN201910110074 A CN 201910110074A CN 110156343 B CN110156343 B CN 110156343B
Authority
CN
China
Prior art keywords
substrate
planarization
superstrate
thickness
precursor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910110074.3A
Other languages
English (en)
Other versions
CN110156343A (zh
Inventor
D·L·拉布雷克
N·昆斯纳特迪诺夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Publication of CN110156343A publication Critical patent/CN110156343A/zh
Application granted granted Critical
Publication of CN110156343B publication Critical patent/CN110156343B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/42Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions at least one coating of an organic material and at least one non-metal coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/042Coating with two or more layers, where at least one layer of a composition contains a polymer binder
    • C08J7/0423Coating with two or more layers, where at least one layer of a composition contains a polymer binder with at least one layer of inorganic material and at least one layer of a composition containing a polymer binder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Medicinal Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Integrated Circuits (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

公开了覆板及其使用方法。覆板的主体可用于在具有不均匀形貌的基板上形成自适应平坦化层。覆板的主体可具有非常适合于实现共形和平坦化行为二者的弯曲特性。主体可以具有表面和在t1到t2范围内的厚度,t1=(Pd4/2Eh)1/3,t2=(5Pd4/2Eh)1/3;P是对应于主体和平坦化前体材料之间的毛细力的压力;d是弯曲距离;E是主体的杨氏模量;并且h是基板的两个相邻区域之间的台阶高度差。在一个实施例中,厚度可以被选择和用于确定共形行为的最大面外位移wmax足够并且平坦化行为的wmax低于预定阈值。

Description

覆板及其使用方法
技术领域
本公开涉及在基板上的平坦化(planarization)层中使用的覆板(superstrate)。
背景技术
在US 8394282中公开了自适应压印平坦化处理。自适应压印平坦化提供具有期望的形状特征的表面。通常,第一表面的形貌被映射以提供密度图。密度图被评估以提供用于在第一表面上分配可聚合材料的液滴图案。可聚合材料被固化和蚀刻以提供模板的第二表面,其中第二表面具有期望的形状特征。另外,自适应压印平坦化补偿了压印处理的寄生效应。
可以在具有平面性扰动的表面上使用反色调图案化。US 7241395公开了一种图案化基板的方法,该方法包括在基板上形成具有原稿图案的第一膜,该原稿图案包括多个凸部,所述多个凸部的子集从最低表面开始延伸,终止于顶点表面,从而在最低表面和顶点表面之间限定高度。第二膜被设置在第一膜上并限定与所述多个凸部的顶点表面间隔开的表面。所述多个凸部中的任何一个的顶点表面与所述表面之间的距离的变化在预定范围内。记录的图案被转印到对应于原稿图案的基板上,其中预定范围被选择为使记录图案中的图案失真最小化。
发明内容
在一个方面,覆板可以包括:主体,具有表面和在t1到t2范围内的厚度,其中:t1=(Pd4/2Eh)1/3,t2=(5Pd4/2Eh)1/3,P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力,d是弯曲距离,E是主体的杨氏模量,并且h是基板的两个相邻区域之间的台阶高度差。
在一个实施例中,所述覆板具有共形行为区域,所述共形行为区域具有至少5nm的面外位移。
在特定实施例中,所述共形行为区域具有至少0.20mm的长度。
在另一实施例中,所述覆板具有平坦化行为区域,所述平坦化行为区域具有至多1nm的面外位移。
在特定实施例中,所述平坦化行为区域具有至多0.1mm的弯曲距离。
在又一实施例中,所述主体包括玻璃。
在还有的另一实施例中,所述主体包括聚合物。
在另一实施例中,所述主体对于用于聚合用于形成平坦化层的平坦化前体材料的辐射具有大于70%的透射率。
在另一方面中,制造覆板的方法可以包括:去除材料的一部分以限定基板的主体,其中主体的厚度是主体的杨氏模量、台阶之间的距离和基板的两个相邻区域之间的台阶高度差的函数。
在一个实施例中,主体包括玻璃,并且具有在0.20mm至0.95mm的范围内的厚度,或者主体包括聚乙烯,并且具有在0.25mm至1.1mm的范围内的厚度。
在又一方面中,方法可用于制造物品。所述方法可以包括:在基板上分配平坦化前体材料,其中基板包括不均匀的表面形貌;使平坦化前体材料与覆板的主体接触,其中主体具有表面和在t1到t2范围内的厚度,其中:t1=(Pd4/2Eh)1/3,t2=(5Pd4/2Eh)1/3,P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力,d是弯曲距离,E是主体的杨氏模量,并且h是基板的两个相邻区域之间的台阶高度差;以及聚合平坦化前体材料以在基板上形成平坦化层,其中在覆板与平坦化前体材料接触的同时执行固化。
在另一实施例中,所述方法还包括形成包括凸部和残留层的图案化抗蚀剂层,其中在分配平坦化前体材料之前执行形成图案化抗蚀剂层。
在特定实施例中,形成图案化抗蚀剂层包括分配抗蚀剂前体材料;使抗蚀剂前体材料与模板接触;和聚合抗蚀剂前体材料以形成图案化抗蚀剂层。
在更具体的实施方案中,模板具有至多50cm2的面积。
在另一个更具体的实施方案中,平坦化前体材料和抗蚀剂前体材料是不同的材料。
在又一个实施例中,所述方法还包括在分配平坦化前体材料之前在图案化抗蚀剂层上形成硬掩模层。
在特定实施例中,所述方法还包括蚀刻平坦化层以暴露硬掩模层的顶部部分。
在更具体的实施例中,所述方法还包括将硬掩模层的暴露的顶部部分蚀刻到图案化抗蚀剂层的暴露部分。
在甚至更具体的实施例中,所述方法进一步包括蚀刻图案化抗蚀剂层的暴露部分。
在进一步的实施例中,平坦化前体材料是旋涂碳。
附图说明
实施例以示例的方式被示出,并且不限于附图。
图1描绘了具有短尺度形貌(图案)和长尺度形貌的基板的一般示例。
图2示出了在图1的基板上分配的平坦化前体材料。
图3示出了与平坦化前体材料接触的覆板。
图4示出了得到的自适应平坦化层,该自适应平坦化层在短长度尺度上被平坦化并且在长长度尺度上以具有均匀的平均厚度层的共形方式形成。
图5包括用于表征共形行为的模型的图示。
图6包括用于表征平坦化行为的模型的图示。
图7包括当被建模为在固定端之间弯曲的细梁时覆板的主体的侧视图的图示。
图8包括当被建模为在两个支撑点上弯曲的细梁时覆板的主体的侧视图的图示。
图9包括可以与覆板一起使用的装置的侧视图的图示。
图10包括具有位于不同高度处的暴露表面的基板的一部分的截面图的图示。
图11包括在形成具有不均匀厚度的图案化抗蚀剂层之后的基板的截面图的图示。
图12包括在形成硬掩模层之后的图11的基板的截面图的图示。
图13包括在形成自适应平坦化层之后的图12的基板的截面图的图示。
图14包括在将平坦化层的部分去除以暴露硬掩模层的部分之后的图13的基板的截面图的图示。
图15包括在将硬掩模层的暴露部分去除以暴露图案化抗蚀剂层的部分之后的图14的基板的截面图的图示。
图16包括在去除图案化抗蚀剂层的凸部之后的图15的基板的截面图的图示。
技术人员理解,附图中的元件是为了简单和清楚而示出的,并且不一定按比例绘制。例如,附图中一些元件的尺寸可能相对于其它元件被夸大,以帮助提高对本发明实施例的理解。
具体实施方式
提供以下结合附图的描述以帮助理解本文公开的教导。以下讨论将聚焦于教导的具体实现和实施例。提供该焦点是为了帮助描述教导,并且不应该被解释为对教导的范围或适用性的限制。
除非另外定义,否则本文中使用的所有技术和科学术语具有与本发明所属领域的普通技术人员通常理解的含义相同的含义。材料、方法和示例仅是说明性的而非限制性的。对于本文未描述的范围,关于具体材料和处理动作的许多细节是常规的,并且可以在压印和光刻领域中的教科书和其它来源中找到。
覆板的主体可以被设计成在具有不均匀形貌的基板上形成自适应平坦化层中是有用的。如本文所使用的,自适应平坦化是指在相对较长的尺度上共形并且在相对较短的尺度上是平面的平坦化。在非限制性实施例中,相对较长的尺度可以比相对较短的尺度大至少一个数量级。在另一个实施例中,相对较长的尺度可以是至少5微米,并且相对较短的尺度可以是至多0.5微米。覆板的主体可具有非常适合于实现共形和平坦化行为二者的弯曲特性。主体的厚度可以在t1到t2的范围内,其中t1=(Pd4/2Eh)1/3,t2=(5Pd4/2Eh)1/3,P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力;d是弯曲距离,E是主体的杨氏模量,并且h是基板的两个相邻区域之间的台阶高度差。
本文提供的等式可用于确保共形行为的最大面外位移等于或大于沿基板的高度差或图案化层的残留层厚度并为主体的特定材料提供厚度范围。在一个实施例中,厚度可以被选择和用于确定共形行为的最大面外位移wmax是足够的,并且平坦化行为的wmax低于预定阈值。可以调节厚度直到wmax的值是可接受的。长度L可用于确定可以在等式中使用的弯曲距离d,以确定可使用的厚度范围。可以使用其它技术来确定主体的厚度范围。
在结合附图阅读本说明书之后,关于覆板和使用覆板的方法的细节被更好地理解。以下描述旨在说明实施例而不是限制本发明的范围,本发明的范围由所附权利要求限定。
提供图1至图4以提供关于如何在解决覆板的主体的设计考虑之前形成自适应平坦化层的背景。如果平的平坦化层表面在长距离尺度上延伸,则工件的非平面暴露表面导致难以适当地形成反色调蚀刻掩模。覆板被设计成允许形成具有如下厚度的平坦化层,该厚度在基板12的相对较高和相对较低的高度上更均匀。
图1示意性地示出了具有暴露表面的基板402的示例,该暴露表面具有凸部434、相对较窄的凹部436和相对较宽的凹部438。因此,基板402具有对应于具有凸部434以及凹部436和438的图案的短尺度形貌和被示出为波浪状表面的长尺度形貌。图2示出了分配在基板402上的平坦化前体材料34。覆板18位于平坦化前体材料34和基板402附近。图3示意性地示出了在覆板18与平坦化前体材料34和基板402接触之后的覆板18。覆板18在长尺度长度702上共形并且在短尺度长度701上平坦化。图4示出了在压印处理完成之后的基板402和工件。基板402在短尺度长度701上被平坦化并且在长尺度长度702上被以共形的方式涂覆。如下所述,覆板18的主体具有适合于主体的特定材料的厚度,以便实现考虑到短尺度长度701和长尺度长度702二者的适当性能。
当形成自适应平坦化层时,覆板18的主体600展现出共形行为(如图5所示)和平坦化行为(如图6所示)。基板12的形貌变化被建模为台阶13。共形行为允许主体600遵从基板12的与台阶对应的部分之间的相对较大的间隔622,而不会延伸太远进入相对较窄的间隔722。参考图3,共形行为相对于相对较长的尺度长度702更显著,并且平坦化行为相对于相对较窄的凹部436和相对较宽的凹部438更显著。
参考图5,相对较大的间隔622具有长度L,并且对应的弯曲距离d为L/2。主体600具有厚度t。在覆板18与平坦化前体材料接触之后,覆板18经历沿着主体600均匀施加的沿着弯曲方向的每单位长度的力q。力q是毛细力和由空气或气体施加在覆板上的力的组合。毛细力可以是覆板18的材料和平坦化前体材料的函数。在下面的等式中,压力P对应于毛细力,并且被估计为1.32×106N/m2或13个大气压。如图5所示,主体600具有面外位移w(x)。
参考图6,平坦化行为允许主体600在基板12的对应于台阶的部分之间的相对较小的间隔722上保持相对平坦。图5中的共形行为的长度L比对应于平坦化行为的相对较小的间隔722的长度L中的每一个大得多。例如,共形行为的长度L可以比对应于平坦化行为的相对较小的间隔722的长度L大至少一个数量级。
覆板18的主体600的材料和厚度被选择为给出共形和平坦化行为二者期望的性能。对于主体600的特定材料,可以使用不同的厚度来确定相对较大的间隔622和代表性的相对较小的间隔722的弯曲距离d和最大面外位移wmax。下面的描述,包括等式,适用于主体600的许多不同材料。
可以使用两种不同的模型来确定主体600的特征。图7包括其中覆板18的主体600被建模为利用固定端弯曲的细梁的图示。图8包括其中覆板18的主体600被建模为在两个支撑点上弯曲的细梁的图示。
最大面外位移wmax处于台阶之间的中点处并且由等式1确定。
wmax=qL4/AEI=12q L4/AEbt3=12PL4/AEt3 (等式1)
其中,细梁的惯性矩I为bt3/12
t是覆板18的主体600的厚度
b是垂直方向上的梁宽度
L是支撑点之间的长度,
对于固定端模型(图7),A是384,并且对于两个支撑点模型(图8),A是384/5或76.8。
q是均匀地施加到固定端(图7)之间或支撑点(图8)之间的梁上的载荷(x方向上的每单位长度的力)。
P=q/b是均匀施加到梁上的压力。压力是更容易测量且无需参数b的方便的参数。
E是覆板18的主体600的杨氏模量;
使用等式1和等于台阶高度h的预定面外偏差w(x),台阶(支撑点)之间的长度L由等式2表示。
L=2d=(AEbht3/12q)1/4=(AEht3/12P)1/4 (等式2)
其中,
弯曲距离d为L/2。
因此,可以选择厚度t,并且使用等式1和2,可以估计最大面外偏差wmax。对于共形行为,wmax可以至少与台阶高度h一样大。wmax可以至少是与基板中的高度差、图案化层的残留层厚度的厚度差或高度差和厚度差的组合相对应的高度差的值。对于平坦化行为,wmax应该小。请注意,共形行为的L的值比平坦化行为的L大得多。在特定实施例中,共形行为的wmax可以是至少5nm,并且对于相对较小的间隔722,平坦化行为的wmax可以小于1nm或甚至小于0.1nm。特定值取决于台阶高度h,因此,技术人员将理解前述值是示例性的并且不限制如本文所述的概念的范围。
在一个实施例中,两个模型可用于在选择了覆板18的主体600的材料之后来确定主体600的最小和最大厚度。
对于如图7中所示的模型,t1由等式3确定。
t1=(Pd4/2Eh)1/3 (等式3)
其中
t1是覆板18的主体600的厚度;并且
P是对应于来自主体600和平坦化前体材料之间的毛细力的贡献和源自施加到覆板18的空气或其它气体压力的力的总和的压力。
对于如图8中所示的模型,t2由等式4确定。
t2=(5Pd4/2Eh)1/3 (等式4)
其中t2是覆板的主体600的厚度。
弯曲距离d是L/2,并且L可以使用等式2来确定。可替代地,参考图11,可以使用诸如凹部426和428的宽度之类的关于图案化抗蚀剂层422的信息来输入弯曲距离。在特定实施例中,对于共形行为,d可以在0.1mm至2mm的范围内,并且对于平坦化行为,d可以是0.03mm。
在另一个实施例中,可以使用迭代处理。厚度t的值可用于确定L(使用等式2)并将L除以2以获得弯曲距离d。厚度t还可以用于确认共形行为的wmax至少与台阶高度一样大,并且平坦化行为的wmax不超过预定值(使用用于wmax的等式1)。等式3和4可用于确定主体600的厚度的上限和下限。
下面给出对于玻璃材料的关于主体600的厚度、弯曲距离d、最大面外位移wmax和覆板弯曲行为的数据。玻璃材料的杨氏弹性模量为70×109N/m2。在特定实施例中,高度差为5nm。
Figure GDA0003459354150000091
对于表1中的特定示例,所有厚度提供可接受的面外位移,因为共形行为的所有的值wmax都超过5nm。在特定实施例中,共形行为的wmax应该高,只要平坦化行为的wmax不大于阈值,诸如1nm或0.1nm。因此,覆板18的主体600可以具有0.20mm至0.95mm范围内的厚度,并且对于共形和平坦化行为二者提供良好的弯曲性能,相应的弯曲距离在0.25mm和0.7mm之间的范围内。在特定实施例中,主体600可以具有0.25mm的厚度。在另一实施例中,覆板18的主体600可以包括杨氏弹性模量为2×109N/m2的聚乙烯。由聚乙烯制成的主体600可以具有在0.25mm至1.1mm范围内的厚度,相应的弯曲距离在0.15mm至0.3mm的范围内。在特定实施例中,主体可具有0.8mm的厚度。
聚乙烯覆板的数据列于下表2中。
Figure GDA0003459354150000101
在阅读本说明书之后,技术人员将理解,上面提供的特定值仅仅是说明性的,以提供对如何确定主体600的厚度以提供良好的共形和平坦化行为的更好理解。可以在不背离本文所述的概念的情况下使用其它台阶高度、基板18的主体600的其它材料以及参数的其它值。
可以执行制造覆板的方法以获得具有期望厚度的主体。如上面的公式所示,主体的厚度可以是主体的杨氏模量、台阶之间的距离以及基板的两个相邻区域之间的台阶高度差的函数。一旦选择了主体的材料,就可以通过参考获得杨氏模量。台阶之间的距离和台阶高度可以通过计算机模拟来确定,或者从先前处理的基板(例如,晶片)的截面图像获得。在一个非限制性实施例中,截面图像可以是在使用覆板之前在形成最后的图案化层之后的处理中的点处的一个或多个切割的晶片的扫描电子显微镜显微照片。在主体包括玻璃的实施例中,主体可具有至少0.20mm、至少0.22mm或至少0.25mm的厚度,并且在另一实施例中,厚度为至多0.95mm、至多0.50mm或至多0.35mm。在主体包括聚乙烯的实施例中,主体可具有至少0.25mm、至少0.40mm或至少0.50mm的厚度,并且在另一实施例中,厚度为至多0.95mm、至多0.90mm或至多0.85mm。
在确定了主体的厚度之后,可以处理透明或半透明材料片以去除透明或半透明材料片的足够量的材料,以限定具有期望厚度的主体。可以使用机械加工、蚀刻、其它合适的去除技术等来执行去除。在去除之后,材料片在主体的周边周围可以具有足够的厚度,以允许在不损坏主体的情况下处理基板。
将注意力转向可以与覆板18一起使用的装置10,如图9所示。使用覆板18的装置10可用于在基板12上形成自适应平坦化层。基板12可以被耦合到基板卡盘14。如图所示,基板卡盘14是真空卡盘。然而,在其它实施例中,基板卡盘14可以是任何卡盘,包括真空、销型、凹槽型、静电、电磁等。基板12和基板卡盘14可以进一步由平台16支撑。平台16可以提供沿X、Y或Z方向的平移或旋转运动。平台16、基板12和基板卡盘14也可以被定位在基座(未示出)上。
与基板12间隔开的是具有主体600的覆板18,主体600可用于形成自适应平坦化层。以上描述了关于覆板18和主体600的更多细节。覆板18可以被耦合到卡盘28。卡盘28可以被配置为真空、销型、凹槽型、静电、电磁或其它类似的卡盘类型。在一个实施例中,卡盘28可以被耦合到头部30,使得卡盘28或头部30可以便于覆板18的移动。
装置10还可以包括用于在基板12上沉积平坦化前体材料34的流体分配系统32。例如,平坦化前体材料34可以包括可聚合材料,诸如树脂。平坦化前体材料34可以使用诸如液滴分配、旋涂、浸涂、化学气相沉积(CVD)、物理气相沉积(PVD)、薄膜沉积,厚膜沉积或其组合之类的技术以一个或多个层被定位在基板12上。依赖于设计考虑,可以在覆板18和基板12之间限定期望体积之前或之后将平坦化前体材料34分配在基板12上。例如,平坦化前体材料34可以包括可以使用紫外线、热等固化的单体混合物。
装置10还可以包括沿着路径42耦合到直接能量40的能量源38。头部30和平台16可以被配置为将覆板18和基板12定位成与路径42重叠。装置10可以通过与平台16、头部30、流体分配系统32或源38通信的逻辑元件54来调节,逻辑元件54可以在计算机可读程序上操作,计算机可读程序可选地存储在存储器56中。逻辑元件54可以是处理器(例如,微处理器或微控制器的中央处理单元)、现场可编程门阵列(FPGA)、专用集成电路(ASIC)等。处理器、FPGA或ASIC可以在装置内。在另一实施例(未示出)中,逻辑元件可以是装置10外部的计算机,并且被双向耦合到装置10。
注意力指向工件的高度变化和使用如前所述的覆板18形成自适应平坦化层的方法。高度的变化可以归因于不平坦基板、覆盖基板的具有变化厚度的层或者两者。特别地,图10示出了不平坦基板的示例,并且图11示出了在平坦基板上形成的不均匀残留层厚度的示例。参考图10,基板32可以具有不完全平坦的暴露表面。基板32可以具有通常在1nm至9nm的范围内的高度差300,5nm是高度差的平均值。尽管高度差似乎小,但是这种高度差可能会显著,特别是对于反色调处理。如下所述,覆板可用于自适应平坦化处理中,以帮助正确形成用于反色调处理的图案化层。
参考图11,可以在基板12上形成图案化抗蚀剂层。抗蚀剂前体材料被分配在基板12上。具有图案化抗蚀剂层的互补图像的模板与抗蚀剂前体材料接触。在一个实施例中,模板对应于压印场并且具有至多50cm2的面积。诸如紫外光、可见光等的辐射透过模板以聚合抗蚀剂前体材料以形成图案化抗蚀剂层422。图案化抗蚀剂层422可以包括对应于具有残留层厚度(RLT)的残留层的被示出为凸部424以及凹部426和428的特征。凸部424的高度可以在10nm至110nm的范围内,60nm是平均值。在如图11所示的实施例中,RLT具有变化的厚度,使得RLT沿基板12的厚度差对应于如先前相对于图10所述的高度差300。为简单起见,以下描述仅解决具有不均匀残留层厚度的情况。这不限制对仅平坦基板12的描述。所有下面的考虑可以一般用于平坦和不平坦基板12二者的组合(如图10所示)以及均匀和不均匀RLT(如图11所示)。
如图12所示,硬掩模层522被形成在图案化抗蚀剂层422上。与图案化抗蚀剂层422和随后形成的平坦化层相比,硬掩模层522可以包括可以被选择性地去除的材料。在一个实施例中,硬掩模层522可以包括氧化硅、氮化硅等。硬掩模层522的厚度可以在5nm至100nm的范围内并且应该是均匀的。如图12所示,硬掩模层522填充相对较窄的凹部426并且不完全填充相对较宽的凹部428。
相对于图13和图14描述使用装置10形成平坦化层1122的方法。该方法可以包括在硬掩模层522上分配平坦化前体材料34。在后续处理期间,与硬掩模层522相比,平坦化层1122将被选择性地去除。因此,与硬掩模层522相比,平坦化层1122具有不同的成分。当硬掩模层522包括无机材料时,平坦化层1122可以包括有机层。平坦化前体材料34可以包括抗蚀剂前体材料中使用的任何化合物。平坦化前体材料34不需要满足在形成图案化抗蚀剂层422中使用的抗蚀剂前体材料的图案化要求,因此,平坦化前体材料34可以包括可能对于抗蚀剂前体材料不可接受的材料。因此,平坦化前体材料34和抗蚀剂前体材料可以由相同材料或不同材料制成。在特定实施例中,平坦化前体材料34可以包括旋涂碳。
覆板18的主体600具有可以对应于基板12的压印场或基板12的基本上全部或更多的面积。在一个实施例中,该面积至少为500mm2,并且在进一步的实施例中,该面积是基板12的至少90%。在另一实施例中,主体600的面积具有与基板12相同或更大的面积。在一个实施例中,主体具有至少700cm2、至少1100cm2、至少1600cm2或更大的表面积,并且在另一实施例中,表面积可以是至多31500cm2
对于用于聚合抗蚀剂前体材料的辐射,覆板18具有至少80%、至少85%或至少90%的透射率。覆板18可以包括玻璃基材料、硅、有机聚合物、硅氧烷聚合物、碳氟聚合物、金属、蓝宝石、尖晶石、其它类似材料或其任何组合。玻璃基材料可以包括钠钙玻璃、硼硅酸盐玻璃、石英、合成熔融石英等。主体600可具有如前所述的厚度,并且这种厚度可取决于主体600的材料和高度差。诸如紫外光、可见光等的辐射透过覆板18以聚合平坦化前体材料34以形成平坦化层1122。从图13可以看出,平坦化层1122的在相对较高的高度区域上的厚度1144和平坦化层1122的在相对较低的高度区域上的厚度1146基本上是相同的值,并且与图1和图2相比更均匀。
该方法可以进一步包括蚀刻平坦化层1122以暴露硬掩模层522的顶部部分,如图14所示。平坦化层1122有助于保护硬掩模层522的位于相对较宽的凹部428内的部分。用于蚀刻平坦化层1122的蚀刻剂允许平坦化层1122被选择性地去除到硬掩模层522。当平坦化层1122包括有机材料,并且硬掩模层522包括无机材料时,可以使用含氧蚀刻剂(例如,O2、O3、H2O2等)。蚀刻可以作为各向同性或各向异性蚀刻来执行。
该方法可以包括选择性地去除硬掩模层522的暴露的顶部部分以暴露部分图案化抗蚀剂层422,如图15所示。硬掩模层522的覆盖图案化抗蚀剂层422的残留层的部分由平坦化层1122的在相对较宽的凹部428内的剩余部分保护。用于蚀刻硬掩模层522的蚀刻剂允许硬掩模层522被选择性地蚀刻到平坦化层1122和图案化抗蚀剂层422。当硬掩模层522包括氧化硅、氮化硅或氮氧化硅,并且层422和1122包括有机材料时,可以使用含氟蚀刻剂(例如,CHF3、SF6等)。蚀刻可以作为各向异性蚀刻来执行,以便更好地保持图案完整性。在处理的该点处,图案化抗蚀剂层422的在相对较高的高度和相对较低的高度二者处的凸部424的顶部被暴露。
作为比较点,参考图1。如果平坦化层1122被具有平坦表面的平坦化层替换,则在较高的高度处的图案化抗蚀剂层422中的相对较宽的凹部内的所有这样的平坦化层将被去除,并且在硬掩模层蚀刻期间,受保护的下面的硬掩模层将变得暴露并过早地被蚀刻掉。后者打开了下面的抗蚀剂残留层,对于参考色调处理,下面的抗蚀剂残留层不应该在该蚀刻阶段暴露。因此,当使用具有平坦表面的平坦化层时,可接受的反色调图像是不可能的。
该方法还可以包括蚀刻图案化抗蚀剂层422的暴露部分,如图16所示。图案化抗蚀剂层422的凸部424被暴露,并且硬掩模层522下面的残留层不被暴露。因此,凸部424和凸部424下面的残留层被去除以限定开口1424,留下图案化抗蚀剂层422的在硬掩模层522部分下面的残留层的部分。当图案化抗蚀剂层422包括有机材料并且硬掩模层522包括无机材料时,可以使用含氧蚀刻剂(例如,O2、O3等)。蚀刻可以作为各向异性蚀刻来执行以保持图案完整性。当去除凸部424时,平坦化层1122的在相对较宽的凹部428内的剩余部分可以被去除。硬掩模层522足以保护在不同高度处的图案化抗蚀剂层422的残留层。
如本文所述的实施例在具有不均匀形貌的基板上形成自适应平坦化层中是有用的。覆板的主体可以被设计为提供非常适合于实现共形和平坦化行为二者的弯曲特性。上面提供的等式可用于确保共形行为的最大面外位移与沿基板的高度差相同或更大,并为主体的特定材料提供厚度范围。在一个实施例中,厚度可以被选择和用于确定共形行为的wmax是足够的,并且平坦化行为的wmax低于预定阈值。可以调节厚度直到wmax的值是可接受的。长度L可用于确定可用于等式中的弯曲距离d,以确定可使用的厚度范围。包括计算机建模在内的其它技术可用于确定主体的厚度范围。
注意,并非需要以上在一般描述或示例中描述的所有活动,可能不需要特定活动的一部分,并且除了所描述的那些之外还可以执行一个或多个其它活动。更进一步,列出活动的顺序不一定是它们被执行的顺序。
以上已经关于特定实施例描述了益处、其它优点和问题的解决方案。然而,益处、优点、问题的解决方案以及可能使任何益处、优点或解决方案发生或变得更加清楚的任何特征不应被解释为任何或所有权利要求的关键、必需或必要特征。
本文描述的实施例的说明和图示旨在提供对各实施例的结构的一般理解。说明和图示不旨在用作使用本文描述的结构或方法的装置和系统的所有元件和特征的详尽和全面的描述。也可以在单个实施例中组合地提供单独的实施例,并且相反地,为了简洁起见,在单个实施例的上下文中描述的各种特征也可以单独提供或以任何子组合提供。此外,对范围中所述值的引用包括该范围内的每个值。只有在阅读本说明书之后,许多其它实施例对于技术人员才是清楚的。可以使用其它实施例并从本公开中得出其它实施例,使得可以在不脱离本公开的范围的情况下进行结构替换、逻辑替换或其它改变。因此,本公开应被视为说明性的而非限制性的。

Claims (10)

1.一种用于基板上的平坦化层中的覆板,其特征在于,覆板包括:
主体,具有表面和在t1到t2范围内的厚度,其中:
t1=(Pd4/2Eh)1/3
t2=(5Pd4/2Eh)1/3
P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力,
d是弯曲距离,
E是主体的杨氏模量,和
h是基板的两个相邻区域之间的台阶高度差。
2.根据权利要求1所述的覆板,其中,所述覆板具有共形行为区域,所述共形行为区域具有至少5nm的面外位移。
3.根据权利要求2所述的覆板,其中,所述共形行为区域具有至少0.20mm的长度。
4.根据权利要求1所述的覆板,其中,所述覆板具有平坦化行为区域,所述平坦化行为区域具有至多1nm的面外位移。
5.根据权利要求4所述的覆板,其中,所述平坦化行为区域具有至多0.1mm的弯曲距离。
6.根据权利要求1所述的覆板,其中,所述主体包括玻璃或聚合物。
7.根据权利要求1所述的覆板,其中,所述主体对于用于聚合用于形成平坦化层的平坦化前体材料的辐射具有大于70%的透射率。
8.一种制造用于基板上的平坦化层中的覆板的方法,其特征在于,所述方法包括:去除材料的一部分以限定基板的主体,其中主体具有在t1到t2范围内的厚度,其中:
t1=(Pd4/2Eh)1/3
t2=(5Pd4/2Eh)1/3
P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力,
d是弯曲距离,
E是主体的杨氏模量,和
h是基板的两个相邻区域之间的台阶高度差;以及
主体的厚度是主体的杨氏模量、台阶之间的距离和基板的两个相邻区域之间的台阶高度差的函数。
9.根据权利要求8所述的方法,其中:
主体包括玻璃,并且具有在0.20mm至0.95mm的范围内的厚度,或
主体包括聚乙烯,并且具有在0.25mm至1.1mm的范围内的厚度。
10.一种制造物品的方法,其特征在于,所述方法包括:
在基板上分配平坦化前体材料,其中基板包括不均匀的表面形貌;
使平坦化前体材料与覆板的主体接触,其中主体具有表面和在t1到t2范围内的厚度,其中:
t1=(Pd4/2Eh)1/3
t2=(5Pd4/2Eh)1/3
P是对应于来自主体和可成形前体材料之间的毛细力的贡献以及施加到覆板上的气体压力的总和的压力,
d是弯曲距离,
E是主体的杨氏模量,和
h是基板的两个相邻区域之间的台阶高度差;以及
聚合平坦化前体材料以在基板上形成平坦化层,其中在覆板与平坦化前体材料接触的同时执行固化。
CN201910110074.3A 2018-02-14 2019-02-11 覆板及其使用方法 Active CN110156343B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/896,756 2018-02-14
US15/896,756 US10606171B2 (en) 2018-02-14 2018-02-14 Superstrate and a method of using the same

Publications (2)

Publication Number Publication Date
CN110156343A CN110156343A (zh) 2019-08-23
CN110156343B true CN110156343B (zh) 2022-06-07

Family

ID=67542249

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910110074.3A Active CN110156343B (zh) 2018-02-14 2019-02-11 覆板及其使用方法

Country Status (5)

Country Link
US (2) US10606171B2 (zh)
JP (2) JP6818790B2 (zh)
KR (1) KR102445641B1 (zh)
CN (1) CN110156343B (zh)
TW (1) TWI750446B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10606171B2 (en) * 2018-02-14 2020-03-31 Canon Kabushiki Kaisha Superstrate and a method of using the same
US11550216B2 (en) 2019-11-25 2023-01-10 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11567401B2 (en) 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
KR102535126B1 (ko) * 2020-10-15 2023-05-22 (주)휴넷플러스 유체 가압을 이용한 반도체 집적소자의 평탄화 방법
WO2022138331A1 (ja) 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法
KR20240005022A (ko) 2021-06-09 2024-01-11 캐논 가부시끼가이샤 경화성 조성물, 막 형성 방법 및 물품의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1827670A (zh) * 1999-02-10 2006-09-06 三洋化成工业株式会社 嵌段聚合物和包含它的抗静电剂
CN102089708A (zh) * 2008-06-09 2011-06-08 得克萨斯州大学系统董事会 适应性纳米形貌雕刻
CN105682808A (zh) * 2013-08-19 2016-06-15 德克萨斯大学系统董事会 以纳米级精确度可程序化的沉积用户自定义外形的薄膜

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960026369A (ko) * 1994-12-30 1996-07-22 김주용 반도체 소자의 층간 절연막 평탄화 방법
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US20040234724A1 (en) * 2003-05-22 2004-11-25 Eastman Kodak Company Immisible polymer filled optical elements
JP2005098888A (ja) * 2003-09-25 2005-04-14 Sony Corp 応力解析装置とそのプログラム、および応力解析方法
US7241395B2 (en) 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
JP2010219446A (ja) * 2009-03-18 2010-09-30 Jsr Corp インプリント用スタンパ及びその製造方法並びにインプリント方法
JP5699064B2 (ja) * 2011-09-29 2015-04-08 富士フイルム株式会社 カラーフィルタの製造方法
JP6177168B2 (ja) * 2013-05-08 2017-08-09 旭化成株式会社 エッチング被加工材及びそれを用いたエッチング方法
CN105377521B (zh) * 2013-05-14 2018-06-29 芬欧汇川集团 具有表面粗糙度的复合结构
JP6496320B2 (ja) 2013-12-30 2019-04-03 キャノン・ナノテクノロジーズ・インコーポレーテッド サブ20nmの図案の均一なインプリントパターン転写方法
US20150270159A1 (en) * 2014-03-20 2015-09-24 Globalfoundries Inc. Fabrication of semiconductor structures using oxidized polycrystalline silicon as conformal stop layers
IL258703B2 (en) * 2015-10-15 2023-11-01 Univ Texas A versatile process for precise manufacturing on a nanometer scale
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10606171B2 (en) * 2018-02-14 2020-03-31 Canon Kabushiki Kaisha Superstrate and a method of using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1827670A (zh) * 1999-02-10 2006-09-06 三洋化成工业株式会社 嵌段聚合物和包含它的抗静电剂
CN102089708A (zh) * 2008-06-09 2011-06-08 得克萨斯州大学系统董事会 适应性纳米形貌雕刻
CN105682808A (zh) * 2013-08-19 2016-06-15 德克萨斯大学系统董事会 以纳米级精确度可程序化的沉积用户自定义外形的薄膜

Also Published As

Publication number Publication date
US10859913B2 (en) 2020-12-08
JP2021022743A (ja) 2021-02-18
TWI750446B (zh) 2021-12-21
KR102445641B1 (ko) 2022-09-21
US20190250505A1 (en) 2019-08-15
TW201934297A (zh) 2019-09-01
KR20190098710A (ko) 2019-08-22
JP2019140394A (ja) 2019-08-22
JP7001788B2 (ja) 2022-01-20
JP6818790B2 (ja) 2021-01-20
US10606171B2 (en) 2020-03-31
US20200142300A1 (en) 2020-05-07
CN110156343A (zh) 2019-08-23

Similar Documents

Publication Publication Date Title
CN110156343B (zh) 覆板及其使用方法
TWI302718B (en) Patterning surfaces while providing greater control of recess anisotropy
TWI577533B (zh) 具有高對比對準標記之模板
TWI292590B (en) Pattern reversal employing thick residual layers
TWI279834B (en) Reverse tone patterning on surfaces having surface planarity perturbations
TWI289326B (en) Method of forming a recessed structure employing a reverse tone process
CN106707686B (zh) 反转色调图案化的方法
TWI380895B (zh) 控制殘餘層厚度之技術
KR102243630B1 (ko) 20nm 이하 특징부의 균일한 임프린트 패턴 전사 방법
JP5395757B2 (ja) パターン形成方法
KR20110025951A (ko) 적응적 나노토포그래피 형상제작
JP5935453B2 (ja) 基板の製造方法、および、ナノインプリントリソグラフィ用テンプレートの製造方法
JP2007535172A (ja) Uvインプリンティングのためのコンプライアントなハード・テンプレート
TWI538011B (zh) 經由多階壓印處理之高對比對準標記
TWI272649B (en) Method of forming an in-situ recessed structure
JP2009515350A (ja) リソグラフィマスクなどの形状体を搭載する支持体を形成する方法
US20110104322A1 (en) Templates used for nanoimprint lithography and methods for fabricating the same
JP2014135313A (ja) インプリントモールドの製造方法
EP1614004B1 (en) Positive tone bi-layer imprint lithography method and compositions therefor
JP6972581B2 (ja) インプリントモールド及びインプリントモールドの製造方法
TWI423306B (zh) 適應性奈米形貌刻蝕技術
JP2024004456A (ja) 本体及び層を含むスーパーストレート、及び、それを形成及び使用する方法
TW201014700A (en) Template having alignment marks formed of contrast material

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant