CN109860145A - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN109860145A
CN109860145A CN201811442707.2A CN201811442707A CN109860145A CN 109860145 A CN109860145 A CN 109860145A CN 201811442707 A CN201811442707 A CN 201811442707A CN 109860145 A CN109860145 A CN 109860145A
Authority
CN
China
Prior art keywords
hole
layer
heat
interlayer dielectric
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811442707.2A
Other languages
English (en)
Inventor
颜智洋
吕芳谅
刘致为
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109860145A publication Critical patent/CN109860145A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3731Ceramic materials or glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3732Diamonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3736Metallic materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体装置包括非绝缘体结构、第一层间介电质层、第一热通孔及第一电通孔。第一层间介电质在非绝缘体结构上方。第一热通孔穿过第一层间介电质层并且与非绝缘体结构接触。第一电通孔穿过第一层间介电质层并且与非绝缘体结构接触。第一热通孔及第一电通孔具有不同材料及相同高度。

Description

半导体装置
技术领域
本揭露内容是关于一种半导体装置。
背景技术
集成电路(integrated circuit;IC)的制造已经通过增加在半导体装置中形成的集成电路的密度来驱使。此通过实施更积极设计规则以允许形成更大密度的集成电路装置来达成。尽管如此,增加的集成电路装置(诸如晶体管)的密度亦增加具有缩小的特征大小的处理半导体装置的复杂性。
发明内容
本揭露内容的至少一实施例提供一种半导体装置,其特征在于,包括非绝缘体结构、第一层间介电质(inter-level dielectric;ILD)层、第一热通孔及第一电通孔。第一层间介电质在非绝缘体结构上方。第一热通孔穿过第一层间介电质层并且与非绝缘体结构接触。第一电通孔穿过第一层间介电质层并且与非绝缘体结构接触,其中第一热通孔及第一电通孔具有不同材料及相同高度。
附图说明
当结合随附附图阅读时,自以下详细描述将最佳地理解本揭示的各态样。应注意,根据工业中的标准实务,各个特征并非按比例绘制。事实上,出于论述清晰的目的,可任意增大或减小各个特征的尺寸。
图1是根据本揭示的一些实施例的用于制造半导体装置的方法的流程图;
图2至图7图示根据本揭示的一些实施例的形成半导体装置的方法;
图8是根据本揭示的一些实施例描绘热阻对照热通孔密度的图表;
图9是根据本揭示的一些实施例描绘接面温度对照热通孔密度的图表;
图10是根据本揭示的一些实施例的用于制造半导体装置的方法的流程图;
图11至图19图示根据本揭示的一些实施例的形成半导体装置的方法;
图20是根据本揭示的一些实施例描绘接面温度对照线沿鳍间距的图表;以及
图21至图26图示根据本揭示的一些实施例的形成半导体装置的方法。
具体实施方式
以下揭示内容提供许多不同实施例或实例,以便实施所提供标的的不同特征。下文描述部件及布置的特定实例来简化本揭示,当然,这些特定实例仅是实例并且不意欲限制。例如,以下描述中在第二特征上方或第二特征上形成第一特征可包括以直接接触形成第一特征及第二特征的实施例,且亦可包括在第一特征与第二特征之间形成额外特征以使得第一特征及第二特征可不处于直接接触的实施例。另外,本揭示可在各个实例中重复元件符号及/或字母。此重复是出于简便性及清晰的目的且本身并不指示所论述的各个实施例及/或配置之间的关系。
另外,为了便于描述,本文可使用空间相对性术语如“在……之下”、“在……下方”、“下部”、“在……上方”、“上部”及类似者来描述诸图中所图示的一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了诸图所描绘的定向外,空间相对性术语意欲包含使用或操作中装置的不同定向。设备可经其他方式定向(旋转90度或处于其他定向)且由此可类似解读本文所使用的空间相对性描述词。
图1是根据本揭示的各个实施例的用于制造半导体装置的方法的流程图。图2至图7图示根据本揭示的一些实施例的用于形成半导体装置100的方法。方法开始于方块S101,其中在覆盖元件的第一层间介电质(inter-level dielectric;ILD)层106上方形成第二层间介电质层132及金属接线136,此等元件为诸如晶体管、二极管或电容器(如图2所示)。方法继续到方块S102,其中在第二层间介电质层132及金属接线136上方形成第三层间介电质层138(如图3所示)。方法继续到方块S103,其中在第三层间介电质层138中形成通孔140A-140C,并且形成导热层142以过度填充通孔140A-140C(如图4所示)。方法继续到方块S104,其中平坦化导热层142以在第三层间介电质层138中形成热通孔144、146、148(如图5所示)。方法继续到方块S105,其中在第三层间介电质层138上方形成第四层间介电质层150(如图5所示)。方法继续到方块S106,其中分别在第三层间介电质层138及第四层间介电质层150中形成电通孔152及金属接线154(如图6及图7所示)。
参考图2。源极/漏极区域114及栅极结构104在基板102上形成以用作晶体管。第一层间介电质(ILD)层106在源极/漏极区域114及栅极结构104上方形成。第二层间介电质层132在第一层间介电质层106上方形成,并且金属接线136在第二层间介电质层132中形成。
在一些实施例中,基板102包括硅基板。在一些替代实施例中,基板102是由下列制成:一些其他适宜元素半导体,诸如金刚石或锗;适宜化合物半导体,诸如砷化镓、碳化硅、砷化铟、或磷化铟;或适宜合金半导体,诸如碳化锗硅、磷化砷镓或磷化铟镓。在一些实施例中,基板102进一步包括其他特征,诸如各种掺杂区域、埋入层及/或磊晶层。另外,在一些实施例中,基板102可为绝缘体上半导体,诸如绝缘体上硅(silicon on insulator;SOI)或蓝宝石上硅。在一些实施例中,基板102包括掺杂的磊晶层、梯度半导体层,及/或可进一步包括覆盖不同类型的另一半导体层的半导体层,诸如锗硅层上的硅层。在一些实施例中,基板102包括多层硅结构或多层化合物半导体结构。
在一些实施例中,基板102进一步包括具有各种掺杂配置的有源区。在一些实施例中,有源区掺杂有p型或n型掺杂剂。例如,有源区掺杂有:p型掺杂剂,诸如硼或BF2;n型掺杂剂,诸如磷或砷;及/或其组合。在一些实施例中,有源区经配置用于N型金属氧化物半导体场效晶体管(被称为NMOSFET),或替代地经配置用于P型金属氧化物半导体场效晶体管(被称为PMOSFET)。
栅极结构104包括栅极介电层108及栅电极110。栅极介电层108在基板102上方形成并且栅电极110在栅极介电层108上方形成。
在一些实施例中,栅极介电层108包括氧化硅、氮氧化硅或高介电常数介电材料。高介电常数介电材料被定义为介电常数大于SiO2的介电常数的介电材料,并且高介电常数介电材料包括金属氧化物。在一些实施例中,金属氧化物选自由下列的氧化物组成的群组:Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu或其混合物。在一些实施例中,栅极介电层108通过使用适宜沉积制程来形成,诸如化学气相沉积(chemical vapor deposition;CVD)制程或原子层沉积(atomic layer deposition;ALD)制程。在一些实施例中,栅极介电层108进一步包括用于最小化栅极介电层108与基板102之间的应力的界面层(未图示)。在一些实施例中,界面层是由由热氧化制程生长的氧化硅或氮氧化硅形成。例如,界面层可以通过快速热氧化(rapid thermal oxidation;RTO)制程或在包含氧的退火制程中生长。
在一些实施例中,栅电极层110包括单层或多层结构。在一些实施例中,栅电极层110包括多晶硅。另外,栅电极层110可为具有均匀或梯度掺杂的掺杂多晶硅。在一些实施例中,栅电极层110是通过使用低压CVD(low pressure CVD)制程来形成。在一些其他实施例中,栅电极层110是金属栅极。
在一些实施例中,至少一对间隔件112在至少一个栅极结构104的侧壁上形成,其中间隔件112是由氧化硅、氮化硅、氮氧化硅、碳化硅、氟掺杂的硅酸盐玻璃、低介电常数介电材料及/或其组合形成。在一些实施例中,至少一个间隔件112可具有多层结构,例如,包括一个或多个衬垫层。
在一些实施例中,磊晶源极/漏极(source/draing;S/D)特征114在基板102中形成。磊晶S/D特征114可使用一个或多个磊晶或外延(epi)制程来形成,使得Si特征、SiGe特征、磷酸硅(SiP)特征、碳化硅(SiC)特征及/或其他适宜特征可以结晶态形成。在一些实施例中,磊晶S/D特征114的晶格常数不同于基板102的晶格常数,使得在磊晶S/D特征114之间的通道区域可以由磊晶S/D特征114应变或应力化,以改进半导体装置100的载子移动率并增强装置效能。磊晶制程包括CVD沉积技术(例如,气相磊晶(VPE)及/或超高真空CVD)、分子束磊晶及/或其他适宜制程。磊晶制程可使用气体及/或液体前驱物,其与基板102的组成(例如,硅、锗硅、磷酸硅或类似者)相互作用。磊晶S/D特征114可经原位掺杂。掺杂物质包括:p型掺杂剂,诸如硼或BF2;n型掺杂剂,诸如磷或砷;及/或包括其组合的其他适宜掺杂剂。若磊晶S/D特征114未经原位掺杂,则执行布植制程以掺杂磊晶S/D特征114。可执行一个或多个退火制程来活化S/D特征114。退火制程包括快速热退火(RTA)及/或激光退火制程。
在一些实施例中,隔离区域(未图示)在基板102中形成以隔离基板102的各个有源区。隔离区域可利用隔离技术,诸如硅局部氧化(local oxidation of silicon;LOCOS)或浅沟槽隔离(shallow trench isolation;STI)区域,以界定并电气隔离基板102的各个有源区。在一些实施例中,至少一个隔离区域用作STI区域。隔离区域包括氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃(FSG)、低介电常数介电材料、其他适宜材料、或其组合。
在一些实施例中,第一层间介电质层106是多层介电结构。若采用栅极后制(gate-last)方法,则形成第一层间介电质层106包括例如,在虚拟栅极(例如,由多晶硅制成)上方沉积层间介电质第零层、对层间介电质第零层执行化学机械研磨(chemical-mechanicalplanarization;CMP)制程直到到达虚拟栅极、利用金属栅极104替代虚拟栅极、以及在层间介电质第零层及金属栅极104上方沉积层间介电质第一层。组合的层间介电质第零层及层间介电质第一层称为第一层间介电质层106。在一些实施例中,第一层间介电质层106的介电常数可小于约3.9。第一层间介电质层106可包括低介电常数(低k)介电材料。低介电常数介电材料的介电常数可为约2.7至约3.0。用于低介电常数介电材料的适宜材料可包括,但不限于,掺杂二氧化硅、氟化硅玻璃、掺杂碳的二氧化硅、多孔二氧化硅、掺杂多孔碳的二氧化硅、干凝胶、气凝胶、非晶氟化碳、聚对二甲苯、双苯并环丁烯、聚酰亚胺、聚苯并恶唑(polynoroboneses)、苯并环丁烯、PTFE、三氧化硅烷、甲基硅倍半氧烷及/或其组合。低介电常数介电材料可通过化学气相沉积(CVD)、电浆增强(plasma enhanced)CVD或旋涂制程来沉积。在一些实施例中,拉伸SiN接触蚀刻终止层在形成第一层间介电质层之前沉积。
在形成第一层间介电质层106之后,将光阻(photoresist)层施加到第一层间介电质层106的顶表面,并且使用例如适宜微影制程图案化光阻层。使用图案化光阻层作为蚀刻遮罩对第一层间介电质层106执行蚀刻制程,从而在栅电极110上方导致第一栅极接触孔116并且在磊晶S/D特征114上方导致第一S/D接触孔118。蚀刻制程可利用定向或各向异性的蚀刻技术,此蚀刻技术经配置以最小横向蚀刻来垂直地蚀穿第一层间介电质层106。在一些实施例中,蚀刻制程包括干式蚀刻、湿式蚀刻、反应性离子蚀刻RIE或其组合。另外,蚀刻制程可使用任何适宜蚀刻化学试剂或其组合。在一些实施例中,蚀刻制程是选择性蚀刻制程,与栅电极110及/或磊晶S/D特征114相比,此制程以更快速率蚀刻层间介电质层106。例如,含氟气体(诸如,CF4、CH2F2或C4F6)用作选择性蚀刻第一层间介电质层106的蚀刻剂。为了获得适当蚀刻轮廓及选择性,各向异性电浆蚀刻可包括多个蚀刻部分,诸如主蚀刻、过蚀刻及后蚀刻处理。在所图示的实施例中,干式蚀刻产生具有实质上垂直轮廓的孔,此等垂直轮廓在栅极结构104及磊晶S/D特征114的顶表面处终止。
其后,在形成第二栅极接触孔120及第二S/D接触孔122之前,第一栅极通孔124及第一S/D通孔126分别在第一栅极接触孔116及第一S/D接触孔118中使用适宜沉积技术形成。在一些实施例中,第一栅极通孔124及第一S/D通孔126可以通过在第一栅极接触孔116及第一S/D接触孔118中沉积金属层来形成,接着执行CMP制程以移除孔116及118外部的过量金属。在一些实施例中,金属层可包括铝(Al)、钨(W)、钴(Co)、铜(Cu)、或其组合,并且可通过CVD、PVD、溅镀及/或其他适宜制程来沉积。第一栅极通孔124电气耦合到下层栅极结构104,并且第一S/D通孔126电气耦合到下层磊晶S/D特征114。第一栅极通孔124及第一S/D通孔126可以在此上下文中被称为电通孔。
在形成第一栅极通孔124及第一S/D通孔126之后,可以通过使用另一光阻层对第一层间介电质层106执行蚀刻制程,以便在栅电极110上方形成第二栅极接触孔120并且在S/D特征114上方形成第二S/D接触孔122。在一些实施例中,第一栅极接触孔116及第二栅极接触孔120是在相同栅极结构104之上,并且第一S/D接触孔118及第二S/D接触孔122是在相同磊晶S/D特征114之上。
随后,第二栅极通孔128及第二S/D通孔130分别在第二栅极接触孔120及第二S/D接触孔122中形成,使得第二栅极通孔128及第二S/D通孔130穿过第一介电层106。在一些实施例中,由于第一栅极通孔124及第二栅极通孔128穿过相同层间介电质层,第一栅极通孔124及第二栅极通孔128可具有实质上相同高度。在一些实施例中,由于第一S/D通孔126及第二S/D通孔130穿过相同层间介电质层,第一S/D通孔126及第二S/D通孔130可具有实质上相同高度。在一些实施例中,第二栅极通孔128及第二S/D通孔130可以通过例如在第二栅极接触孔120及第二S/D接触孔122中沉积导热材料来形成,接着执行CMP制程以移除孔120及122外部的过量导热材料。第二栅极通孔128可以从下层栅电极110散热,并且第二S/D通孔130可以从下层S/D特征114散热。第二栅极通孔128及第二S/D通孔130可以被称为热通孔,在此上下文中此等热通孔分别热耦合到栅电极110及源极/漏极区域114。
在一些实施例中,热通孔128及130具有在从约20nm至约200nm的范围中的直径。在一些实施例中,热通孔128及130通过在从约20nm至约1μm的范围中的间隔来分离。若以上尺寸(例如,直径或间隔)超出已选范围,则制程的复杂性可能增加。在一些实施例中,热通孔128及130的示例材料可包括金刚石、氮化铝(AlN)、类金刚石碳(DLC)、氧化铍(BeO)、氧化铝(Al2O3)、氧化镁(MgO)、及氮化硼(BN)或其组合。热通孔128及130的此等材料可具有大于硅或氧化硅的导热性。例如,硅及氧化硅的导热性是分别约148W/m·K及约1.4W/m·K,并且金刚石、AlN及BeO的导热性是分别约2000W/m·K、约285W/m·K及约330W/m·K。因此,在一些实施例中,其中第一层间介电质层106是由氧化硅制成,热通孔128及130具有比第一层间介电质层106更高的导热性,以便从下层栅电极110及源极/漏极区域104散热。
另外,热通孔128及130的材料可具有比金属(诸如铜(Cu))小的热膨胀系数(coefficient of thermal expansion;CTE)。例如,Cu的热膨胀系数是约18(ppm/K),并且金刚石、AlN及BeO的热膨胀系数是分别约1.0ppm/K、约5.3ppm/K及从约7.4ppm/K至约8.9ppm/K。因此,关于层间介电质与通孔之间的热膨胀系数不匹配的问题可以被解决,使得通孔密度可以增加。在一些实施例中,与铜相比具有减小热膨胀系数的热通孔128及130可具有比铜小的导热性。例如,当热通孔128及130是由AlN(具有约285W/m·K的导热性)或BeO(具有约330W/m·K的导热性)制成时,热通孔128及130的导热性小于铜的导热性(约401W/m·K)。在一些实施例中,当热通孔128及130是由金刚石(具有约2000W/m·K的导热性)制成时,热通孔128及130的导热性大于铜的导热性(约401W/m·K)。
在一些实施例中,其中第一栅极通孔124及第一S/D通孔126是由金属(例如,Cu、Al或W)制成时,热通孔128及130具有比第一栅极通孔124及第一S/D通孔126小的热膨胀系数,此继而将有利于改进第一层间介电质层106中的通孔密度。
在一些实施例中,第一栅极通孔124或第一S/D通孔126具有的导电性高于热通孔128或130的导电性(亦即,热通孔128及130具有的电阻高于第一栅极通孔124及第一S/D通孔126的电阻)。例如,第一栅极通孔124具有的导电性高于热通孔128的导电性,并且第一S/D通孔126具有的导电性高于热通孔130的导电性(亦即,热通孔128具有的电阻高于第一栅极通孔124的电阻,并且热通孔130具有的电阻高于第一S/D通孔126的电阻)。在一些实施例中,热通孔128及130是介电质。在一些实施例中,其中第一层间介电质层106是由氧化硅制成,热通孔128及130具有的导热性高于第一层间介电质层106的导热性,此继而将有利于从晶体管散热。
在形成热通孔128及130之后,第二层间介电质层132及金属接线136在第一层间介电质层106、电通孔124、126以及热通孔128及130之上形成。在一些实施例中,第二层间介电质层132可包括低介电常数介电材料,此介电材料可具有在从约2.7至约3.0的范围中的介电常数。第二层间介电质层132可通过化学气相沉积(CVD)、电浆增强CVD(PECVD)或旋涂制程来沉积。金属接线136可包括铝(Al)、钨(W)、钴(Co)、铜(Cu)或其组合。
在一些实施例中,形成第二层间介电质层132及金属接线136包括例如,在第一层间介电质层106上方毯覆式形成金属层、使用适宜微影及蚀刻技术图案化金属层以形成金属接线136、在金属接线136上方及之中沉积第二层间介电质层132、以及对第二层间介电质层132执行CMP制程直到暴露出金属接线136。在替代实施例中,形成第二层间介电质层132及金属接线136包括例如,在第一层间介电质层106上方毯覆式形成第二层间介电质层132、使用适宜微影及蚀刻技术在第二层间介电质层132中形成沟槽134、利用金属层填充沟槽134、以及执行CMP制程以移除金属层在沟槽134外部的一部分,同时保持金属层在沟槽134中的剩余部分用作金属接线136。
参考图3。第三层间介电质层138在第二层间介电质层132及金属接线136上方形成。在一些实施例中,第三层间介电质层138可包括低介电常数介电材料,此介电材料可具有在从约2.7至约3.0的范围中的介电常数。第三层间介电质层138可通过化学气相沉积(CVD)、电浆增强CVD(PECVD)、或旋涂制程来沉积。在形成第三层间介电质层138之后,第二层间介电质层132及金属接线136由第三层间介电质层138覆盖。
参考图4。通孔140A、140B及140C在第三层间介电质层138中通过任何适宜制程来形成。例如,形成通孔140A、140B及140C可包括通过光微影及蚀刻制程来图案化第三层间介电质层138。蚀刻制程可通过使用干式蚀刻、湿式蚀刻及/或电浆蚀刻制程来执行,以便移除第三层间介电质层138的一些部分。在一些实施例中,通孔140A、140B及140C中的至少一个通孔是在对应金属接线136上方。例如,通孔140A及140C是在金属接线136上方。随后,沉积导热层142直到过度填充通孔140A、140B及140C。在一些实施例中,导热层142的示例材料可包括金刚石、氮化铝(AlN)、类金刚石碳(DLC)、氧化铍(BeO)、氧化铝(Al2O3)、氧化镁(MgO)、及氮化硼(BN)、或其组合。导热层142的此种材料可具有大于硅或氧化硅的导热性。例如,硅及二氧化硅的导热性是分别约148W/m·K及约1.4W/m·K,并且金刚石、AlN及BeO的导热性是分别约2000W/m·K、约285W/m·K及约330W/m·K。
另外,导热层142的材料可具有小于铜(Cu)的热膨胀系数(CTE)。例如,Cu的热膨胀系数是约18ppm/K,并且金刚石、AlN及BeO的热膨胀系数是分别约1.0ppm/K、约5.3ppm/K及从约7.4ppm/K至约8.9ppm/K。因此,关于层间介电质与通孔之间的热膨胀系数不匹配的问题可以被解决,使得通孔密度可以增加。在一些实施例中,与铜相比具有减小热膨胀系数的导热层142可具有小于Cu的导热性。例如,当导热层142是由AlN(具有约285W/m·K的导热性)或BeO(具有约330W/m·K的导热性)制成时,导热层142的导热性小于铜的导热性(约401W/m·K)。
参考图5。在形成导热介电层142(参见图4)之后,可以执行诸如CMP的平坦化制程以移除过量的导热介电层142,并且导热层142的剩余部分可用作通孔140A内的第一热通孔144、通孔140B内的第二热通孔146、以及通孔140C内的第三热通孔148。通过平坦化制程,第一热通孔144、第二热通孔146及第三热通孔148的顶表面实质上与第三层间介电质层138的顶表面齐平。在一些实施例中,第一热通孔144、第二热通孔146及第三热通孔148中的每一个热通孔具有在从约20nm至约200nm的范围中的直径。在一些实施例中,第一热通孔144、第二热通孔146及第三热通孔148由在从约20nm至约1μm的范围中的间隔来分离。若直径及间隔超出如上文所述的已选范围,形成热通孔144、146及148的复杂性可能增加。接下来,第四层间介电质层150在第三层间介电质层138,第一热通孔144、第二热通孔146及第三热通孔148上方形成。在一些实施例中,第四层间介电质层150可包含低介电常数介电材料,此介电材料可具有在从约2.7至约3.0的范围中的介电常数。第四层间介电质层150可通过化学气相沉积(CVD)、电浆增强CVD(PECVD)或旋涂制程来沉积。在形成第四层间介电质层150之后,第一热通孔144、第二热通孔146及第三热通孔148由第四层间介电质层150覆盖。
参考图6及图7。执行双金属镶嵌制程以在第三层间介电质层138中形成通孔151v并在第四层间介电质层150及对应通孔151v上方形成沟槽151t。双金属镶嵌制程在形成第三热通孔144、146、148之后执行。更详细而言,形成通孔151v及沟槽151t在形成第三热通孔144、146、148之后执行。在相应通孔151v的底部处暴露出金属接线136。随后,在沟槽151t及通孔151v中形成金属层以着陆在金属接线136上。在一些实施例中,金属层可通过CVD、PVD、溅镀及/或其他适宜制程来形成。其后,可以执行诸如CMP的平坦化制程来移除过量的金属层,而在第三层间介电质层138中余留复数个电通孔152并且在第四层间介电质层150中余留复数个金属接线154。在一些实施例中,电通孔152由第三层间介电质层138围绕。在一些实施例中,金属接线154由第四层间介电质层150围绕。在一些实施例中,电通孔152及金属接线154的示例材料可包括铝(Al)、钨(W)、钴(Co)、铜(Cu)或其组合。在一些实施例中,第一层间介电质层106之上的结构可以被称为后端制程(back-end-of line,BEOL)结构。例如,BEOL结构包括第二层间介电质层132、第二层间介电质层132中的金属接线136、第二层间介电质层132上方的第三层间介电质层138、第三层间介电质层138中的热通孔144、146、148及电通孔152、第四层间介电质层150及第四层间介电质层150中的金属接线154。在一些实施例中,电通孔152中的至少一个具有的导电性高于热通孔144、146、148中的至少一个的导电性(亦即,热通孔144、146、148中的至少一个具有的电阻高于电通孔152中的至少一个的电阻)。例如,热通孔144、146及148是介电质。
图8是根据本揭示的一些实施例描绘热阻对照热通孔密度的图表,其中水平轴表示具有BeO热通孔的BEOL结构中的热通孔密度(以百分比计),并且垂直轴表示热阻(以k/μw计)。图8图示热通孔密度与热阻负相关。亦即,热阻随着热通孔密度增加而减小。图9是根据本揭示的一些实施例描绘接面温度对照热通孔密度的图表,其中水平轴表示在半导体装置(例如,具有四个鳍的FinFET)中的热通孔密度(以百分比计),并且垂直轴表示接面温度(以℃计)。图9图示热通孔密度与接面温度负相关。亦即,接面温度随着热通孔密度增加而减小。如图8及图9所示,增加的热通孔密度将导致降低的热阻及/或降低的接面温度。此外,因为与由金属制成的电通孔相比,由非导电材料(例如,金刚石、AlN及/或BeO)制成的热通孔具有降低的热膨胀系数,关于层间介电质与通孔之间的热膨胀系数不匹配的问题可以被解决,此继而导致增加的通孔密度。因此,使用材料(诸如金刚石、AlN及/或BeO)形成热通孔有利于降低热阻及/或接面温度。
图10是根据本揭示的一些实施例的用于制造半导体装置200的方法的流程图。图11至图18图示了根据本揭示的一些实施例的形成半导体装置200的方法。方法开始于方块S201,其中鳍212在基板210上形成(如图11所示)。方法继续到方块S202,其中隔离介电质220在鳍212之间的沟槽T中形成(如图12及图13所示)。方法继续到方块S203,其中形成虚拟栅极结构230以环绕鳍212(如图14所示)。方法继续到方块S204,其中在鳍212上形成磊晶源极/漏极(S/D)特征240,并且在磊晶S/D特征240上方形成层间介电质层242(如图15所示)。方法继续到方块S205,其中用替代栅极结构250来替代虚拟栅极结构236(如图16所示)。方法继续到方块S206,其中在层间介电质层242中形成源极/漏极接触孔259以暴露磊晶源极/漏极特征240(如图17所示)。方法继续到方块S207,其中在磊晶S/D特征240上方形成硅化物层260,并且在硅化物层260上形成S/D触点262(如图17及图18所示)。方法继续到方块S208,其中在替代栅极结构250上形成栅极触点252,在栅极触点252上形成栅极通孔266,并且在S/D触点262上形成S/D通孔268(如图19所示)。
参考图11。执行一系列沉积及光微影制程以在基板210上形成复数个鳍212。鳍212由沟槽T分离。在一些实施例中,基板210是半导体基板,诸如块状半导体、绝缘体上半导体(SOI)基板或类似者。通常,SOI基板包含在绝缘体层上形成的半导体材料层。绝缘体层可为例如埋入式氧化物(BOX)层、氧化硅层或类似者。绝缘体层在基板(硅或玻璃基板)上提供。亦可使用其他基板,诸如多层或梯度基板。在一些实施例中,基板210的半导体材料可包括:硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其组合。
在一些实施例中,鳍可通过任何适宜方法来图案化。例如,鳍可使用一个或多个光微影制程(包括双图案化或多图案化制程)来图案化。通常,双图案化或多图案化制程结合光微影及自对准的制程,从而允许产生具有例如与可另外使用单个、直接光微影制程获得的间距相比较小的间距的图案。例如,在一个实施例中,牺牲层在基板上方形成并使用光微影制程图案化。间隔件使用自对准制程在图案化的牺牲层旁边形成。随后移除牺牲层,并且可随后使用剩余间隔件来图案化鳍。
参考图12。形成隔离介电质220以过度填充沟槽T并覆盖鳍212(参见图11)。在一些实施例中,隔离介电质220是由导热且不导电的材料制成。隔离介电质220的示例材料包括金刚石、氮化铝(AlN)、类金刚石碳(DLC)、氧化铍(BeO)、氧化铝(Al2O3)、氧化镁(MgO)及氧化硼(BN)、或其组合,并且可通过CVD、PVD及/或其他适宜制程来形成。在一些实施例中,隔离介电质220的示例材料包括其他绝缘材料。
其后,执行诸如CMP的平坦化制程以移除鳍212上方的过量隔离介电质220。接下来,使隔离介电质220凹陷,例如,经由回蚀制程,其中稀HF、SiCoNi(包含HF及NH3)或类似者可用作蚀刻剂。图13中图示了所得结构。在使隔离介电质220凹陷之后,鳍212的一些部分高于隔离介电质220的顶表面。沟槽T中的所得隔离介电质220可以被称为浅沟槽隔离(STI)结构。
参考图14。栅极介电层232、虚拟栅电极层234及至少一对间隔件236在鳍212上方形成。在一些实施例中,栅极介电层在基板210上方毯覆式形成以覆盖鳍212及隔离介电质220,并且虚拟栅电极层在栅极介电层上方形成。在形成栅极介电层及虚拟栅电极层之后,执行一个或多个蚀刻制程以使用蚀刻遮罩形成环绕鳍212的虚拟栅极结构230,并且在蚀刻之后可以移除蚀刻遮罩。虚拟栅极结构230包括栅极介电层232及栅极介电层232上方的虚拟栅电极层234。虚拟栅极结构230具有实质上平行的纵轴,此纵轴实质上垂直于至少一个鳍212的纵轴。虚拟栅极结构230将使用「栅极后制」或替代栅极制程利用替代栅极结构来替代。
在一些实施例中,栅极介电层232是由高介电常数介电材料制成,诸如金属氧化物、过渡金属氧化物或类似者。高介电常数介电材料的实例包括但不限于氧化铪(HfO2)、氧化铪硅(HfSiO)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)、氧化铪锆(HfZrO)、氧化锆、氧化钛、氧化铝、二氧化铪-氧化铝(HfO2-Al2O3)合金或其他适用介电材料。在一些实施例中,栅极介电层232是氧化层。栅极介电层232可通过沉积制程来形成,此沉积制程为诸如化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)、电浆增强CVD(PECVD)或其他适宜技术。
在一些实施例中,虚拟栅电极层234可包括多晶硅(聚Si)、多晶锗硅(聚SiGe)、金属氮化物、金属硅化物、金属氧化物或金属。在一些实施例中,虚拟栅电极层234包括含金属材料,诸如TiN、TaN、TaC、Co、Ru、Al、其组合或其多层。虚拟栅电极层234可通过CVD、物理气相沉积(PVD)、溅镀沉积或适用于沉积导电材料的其他技术来沉积。
在形成虚拟栅极结构230之后,栅极间隔件236沿着虚拟栅极结构230的侧壁形成。在一些实施例中,栅极间隔件236可包括氧化硅、氮化硅、氮氧化硅、碳化硅、碳氮化硅、碳氮氧化硅、碳氧化硅、多孔介电材料、氢掺杂的碳氧化硅(SiOC:H)、低介电常数介电材料或其他适宜介电材料。栅极间隔件236可包括由不同介电材料制成的单层或多层结构。形成栅极间隔件236的方法包括通过使用例如CVD、PVD或ALD毯覆式形成介电层,并且随后执行蚀刻制程(诸如各向异性蚀刻)以移除介电层的水平部分。介电层在虚拟栅极结构230的侧壁上的剩余部分可以用作栅极间隔件236。在一些实施例中,栅极间隔件236可用于偏移随后形成的掺杂区域,诸如源极/漏极区域。栅极间隔件236可进一步用于设计或修改源极/漏极区域轮廓。
其后,蚀刻鳍212未由虚拟栅极结构230及栅极间隔件210覆盖的部分。磊晶源极/漏极(S/D)特征240随后在蚀刻的鳍212上形成。其后,层间介电质层242在S/D特征240及虚拟栅极结构230上方形成。图15中图示了所得结构。在一些实施例中,移除鳍212未由虚拟栅极结构230及栅极间隔件210覆盖的部分是通过适宜蚀刻技术来执行。剩余鳍212具有由虚拟栅极结构230及嵌入在隔离介电质220中的S/D部分环绕的通道部分。在一些实施例中,蚀刻鳍212可包括干式蚀刻制程、湿式蚀刻制程或组合的干式及湿式蚀刻制程。此蚀刻制程可包括使用虚拟栅极结构230及栅极间隔件236作为遮罩的反应性离子蚀刻(RIE),或通过任何其他适宜移除制程。在蚀刻制程之后,在一些实施例中可执行预清洁制程来利用氢氟酸(HF)或其他适宜溶液清洁剩余鳍212。
在形成蚀刻鳍212之后,磊晶S/D特征240由相应鳍212磊晶生长。磊晶S/D特征240可使用一个或多个磊晶或晶膜(epi)制程来形成,使得Si特征、SiGe特征、磷酸硅(SiP)特征、碳化硅(SiC)特征及/或其他适宜特征可以结晶状态在剩余鳍212的嵌入部分上形成。在一些实施例中,磊晶S/D特征240的晶格常数不同于剩余鳍212的晶格常数,使得磊晶S/D特征240之间的通道区域可以由磊晶S/D特征240应变或应力化,以改进半导体装置的载子移动率并增强装置效能。
在一些实施例中,磊晶制程包括CVD沉积技术(例如,气相磊晶(VPE)及/或超高真空CVD(UHV-CVD))、分子束磊晶及/或其他适宜制程。磊晶制程可使用气体及/或液体前驱物,其与鳍212的组成(例如,硅、锗硅、磷酸硅或类似者)相互作用。磊晶S/D特征240可经原位掺杂。掺杂物质包括:p型掺杂剂,诸如硼或BF2;n型掺杂剂,诸如磷或砷;及/或包括其组合的其他适宜掺杂剂。若磊晶S/D特征240未经原位掺杂,则执行布植制程以掺杂磊晶S/D特征240。可执行一个或多个退火制程以活化磊晶S/D特征240。退火制程包括快速热退火(rapid thermal annealing;RTA)及/或激光退火制程。
在形成磊晶S/D特征240之后,层间介电质层242在磊晶S/D特征240上方形成。在一些实施例中,层间介电质层242是由与介电质覆盖层不同的材料制成,并且是由例如一或多层氧化硅、SiCN、SiOCN或低介电常数材料来制成。形成层间介电质层242包括在虚拟栅极结构230上方沉积层间介电质层242,接着通过平坦化层间介电质层242直到暴露出虚拟栅极结构230。
其后,移除虚拟栅电极层234以形成具有栅极间隔件236作为其侧壁的栅极沟槽,并且栅极结构250在栅极沟槽中形成,并且随后执行选择性蚀刻制程以回蚀栅极结构250。其后,介电盖252在回蚀的栅极结构250上方形成。在图16中图示所得结构。在一些实施例中,虚拟栅电极层234通过适当的蚀刻制程来移除,并且栅极介电层232余留在栅极间隔件236之间。在一些其他实施例中,栅极介电层232经移除并利用高介电常数介电层来替代。
形成栅极结构250的示例性方法可包括在栅极介电层232上方毯覆式形成一个或多个功函数金属层、在一个或多个功函数金属层上方形成填充金属层、以及执行CMP制程以移除填充金属层及一个或多个功函数金属层在栅极沟槽外部的过量材料。由于此方法,栅极结构250可包括一个或多个功函数金属层以及由一个或多个功函数金属层环绕周围的填充金属。
在一些实施例中,一个或多个功函数金属层的示例材料可包括用于在基板210上形成n型晶体管的一种或多种n型功函数金属(N金属)。n型功函数金属可示例性包括但不限于铝化钛(TiAl)、铝氮化钛(TiAlN)、碳氮化钽(TaCN)、铪(Hf)、锆(Zr)、钛(Ti)、钽(Ta)、铝(Al)、金属碳化物(例如,碳化铪(HfC)、碳化锆(ZrC)、碳化钛(TiC)、碳化铝(AlC))、铝化物及/或其他适宜材料。在替代实施例中,一个或多个功函数金属层的示例材料可包括用于在基板210上形成p型晶体管的一种或多种p型功函数金属(P-金属)。p型功函数金属可示例性包括但不限于氮化钛(TiN)、氮化钨(WN)、钨(W)、钌(Ru)、钯(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电金属氧化物及/或其他适宜材料。在一些实施例中,填充金属的示例材料可包括但不限于钨、铝、铜、镍、钴、钛、钽、氮化钛、氮化钽、硅化镍、硅化钴、TaC、TaSiN、TaCN、TiAl、TiAlN或其他适宜材料。
参考图17。源极/漏极接触孔259在层间介电质层242中蚀刻以暴露磊晶S/D特征240,并且随后在接触孔259中并在磊晶S/D特征240上方形成金属合金层260。金属合金层260是由一种或多种第IV族元素及一种或多种过渡金属元素制成的合金。当磊晶S/D特征240是由硅形成时,金属合金层260是硅化物层。当磊晶S/D特征240是由锗形成时,金属合金层260是锗化物层。当磊晶S/D特征240是由SiGe形成时,金属合金层260是硅化物-锗化物层。过渡金属包括Ti、Ta、Ni及Co中的一种或多种。金属合金层260是TiSi、TaSi、NiSi、CoSi、TiSiGe、TaSiGe、NiSiGe及CoSiGe中的一种或多种。
在层间介电质层242中蚀刻出接触孔259之后,通过例如CVD、ALD或PVD在磊晶S/D特征240上沉积过渡金属。在沉积期间,所沉积的过渡金属在磊晶S/D特征240中与Si及/或Ge反应,由此在一些实施例中形成合金层260。在一些实施例中,硅化物(合金)层可以通过PECVD、CVD、PEALD或ALD金属来形成,并且随后应用使用基于Cl或F的气体的原位干式蚀刻或异位湿式选择性蚀刻以移除间隔件及隔离绝缘层上的剩余金属。在其他实施例中,硅化物(合金)层可以通过PECVD、CVD、PEALD或ALD来形成。在某些实施例中,稍后执行氮化处理以钝化硅化物表面以进行后续的硅化物形成退火。在其他实施例中,执行从适当金属及硅前驱物通过自组装分子(SAM)或固有选择性形成、经由表面阻挡的选择性硅化物沉积制程。可利用其他适宜硅化物形成制程。在一些实施例中,在磊晶S/D特征240上形成过渡金属层之后,执行退火操作以形成金属合金层260。
参考图18。S/D触点262在金属合金层260上方形成。在一些实施例中,在形成金属合金层260之后,形成接触蚀刻终止层(contact etch stop layer;CESL)以覆盖金属合金层260。CESL是由基于氮化硅的材料(诸如SiN、SiON或类似者)制成。在一些实施例中,S/D触点262的示例材料包括但不限于Ti、TiN、TaN、Co、Ru、Pt、W、Al、Cu或其组合。
其后,栅极触点264使用适宜微影、蚀刻及沉积技术在介电盖252中并且在栅极结构250上方形成。其后,另一层间介电质层246在S/D触点262及栅极触点264上方形成,并且栅极通孔266及S/D通孔268在层间介电质层246中并且分别在栅极触点264及S/D触点262上方形成。在图19中图示所得结构。栅极触点264、栅极通孔266及S/D通孔268的示例材料包括但不限于Ti、TiN、TaN、Co、Ru、Pt、W、Al、Cu。层间介电质层246的示例材料包括但不限于氧化硅、SiCN、SiOCN或低介电常数材料。
包括鳍212的如图19所示的半导体装置可以被称为多通道半导体装置。在多通道半导体装置的鳍212之间的区域可以被称为多通道半导体装置的通道间区域。如图19所示,通道间区域利用隔离介电质220填充。由于在多通道半导体装置的通道间区域内的隔离介电质220具有大于氧化硅的导热性,多通道半导体装置的每个鳍212的接面温度(junctiontemperature;Tj)可以减小。在一些实施例中,其中层间介电质层242及/或层间介电质层246是由氧化硅制成,隔离介电质220的导热性大于层间介电质层242的导热性及/或层间介电质层246的导热性。另外,半导体装置200外部的区域可以被称为装置间区域。亦即,装置间区域是在四个鳍212与其他多通道半导体装置的其他鳍之间。在一些实施例中,在图19中描绘的所得结构中,鳍212可经设计以具有在从35nm至55nm的范围中的鳍高度、在从5nm至15nm的范围中的鳍宽度、以及在从25nm至60nm的范围中的鳍间距,并且由鳍212及栅极结构250形成的栅极长度是在从14nm至30nm的范围中。另外,在一个实施例中,一个以上的栅极通孔在介电盖252中形成,并且此等栅极通孔通过在从40nm至90nm的范围中的接触多间距(contacted poly pitch,CPP)布置。若以上尺寸(例如,鳍高度、鳍宽度、鳍间距、栅极长度或CPP)是超出已选范围,则制程的复杂性可能增加并且半导体装置的效能可能亦受到影响。
图20是根据本揭示的一些实施例描绘接面温度对照线沿鳍间距的图表,其中水平轴表示沿着鳍的间距(以μm计),并且垂直轴表示接面温度(以℃计)。在图20所示的图表中,包括由氧化硅制成的隔离介电质的半导体装置的温度分布标记为曲线C1,包括由BeO制成的隔离介电质的半导体装置的温度分布标记为曲线C2,并且包括由金刚石制成的隔离介电质的半导体装置的温度分布标记为曲线C3。如图20所示,具有BeO STI的半导体装置的温度变化(如由曲线C2所示)低于具有氧化硅STI的半导体装置的温度变化(如由曲线C1所示),并且具有金刚石STI的半导体装置的温度变化(如由曲线C3所示)亦低于具有氧化硅STI的半导体装置的温度变化(如由曲线C1所示)。由此,使用金刚石及/或BeO形成STI将改进多通道半导体装置中的温度均匀性。
图21至图26图示根据本揭示的一些实施例的形成半导体装置300的方法。形成半导体装置300的方法的众多态样与如图11至图19所描绘的形成半导体装置200的方法的众多态样相同或类似,并且因此可省略详细解释。
参考图21及图22。复数个鳍312使用如先前关于图11中描绘的鳍212及基板210所论述的适宜方法在基板310上形成。随后,形成第一隔离介电质320以过度填充鳍312之间的沟槽T。在一些实施例中,隔离介电质320是由导热材料制成。第一隔离介电质320的示例材料包括金刚石、氮化铝(AlN)、类金刚石碳(DLC)、氧化铍(BeO)、氧化铝(Al2O3)、氧化镁(MgO)及氮化硼(BN)或其组合,并且可通过CVD、PVD及/或其他适宜制程来形成。
参考图23。第一隔离介电质320的一部分使用适宜微影及蚀刻技术来移除,并且第一隔离介电质320的另一部分保持覆盖鳍312。第一隔离介电质320余留在鳍312之间的通道间区域(或装置内区域)R1中,并且在通道间区域R2外部的装置间区域R2不由第一隔离介电质320覆盖。
参考图24。第二隔离介电质322在基板310上并且在第一隔离介电质320周围形成。换言之,第二隔离介电质322在通道间区域R1外部的装置间区域R2上形成。在一些实施例中,第二隔离介电质322是由与第一隔离介电质320不同的材料制成。在一些实施例中,第二隔离介电质322的示例材料包括氧化硅、低介电常数介电材料、类似者或其组合。在一些实施例中,形成第二隔离介电质322包括在基板310上沉积第二隔离介电质322,并且执行平坦化制程,直到暴露出第一隔离介电质322。
参考图25,使用例如非选择性蚀刻制程回蚀第一隔离介电质320及第二隔离介电质322以暴露鳍312,使得鳍312从第一隔离介电质320及第二隔离介电质322突出。所得第一隔离介电质320可以被称为通道间STI或装置内STI,并且所得第二隔离介电质322可以被称为装置间STI。
其后,使用如先前关于图14至图19论述的适宜制程来在基板310上形成栅极介电层332、栅极间隔件336、栅极结构350、介电盖352、磊晶S/D特征340、层间介电质层342及346、硅化物层360、S/D触点362、至少一个栅极触点364、至少一个栅极通孔366、及S/D通孔368。图26中描绘了所得结构。在一些实施例中,栅极结构350跨第一隔离介电质320与第二隔离介电质322之间的边界形成。如图26所示,由于第一隔离介电质320是在鳍312位于其中的通道间区域内,获得降低的鳍312之间的温度差。
基于以上论述,可以看到本揭示提供了优点。然而,应当理解,其他实施例可提供额外优点,并且在本文中并非必须揭示所有优点,并且所有实施例并非需要特定优点。一个优点是热通孔在半导体装置的非绝缘体结构(例如,栅电极、磊晶S/D特征、或金属接线)上使用导热材料(诸如金刚石、AlN及/或BeO)形成,并且因此可以减小热阻及/或接面温度。另一优点是关于层间介电质与通孔之间的热膨胀系数不匹配的问题可以被解决,因为热通孔具有与电通孔(例如,Cu通孔)相比较低的热膨胀系数,并且因此可以增加通孔密度。又一优点是STI使用具有与氧化硅的导热性相比高一百倍的导热性的导热材料形成,此继而改进具有多个半导体鳍的前端制程(front-end-of-line,FEOL)结构的温度均匀性。
根据本揭示的各个实施例,一种装置包括非绝缘体结构、第一层间介电质层、第一热通孔及第一电通孔。第一层间介电质在非绝缘体结构上方。第一热通孔穿过第一层间介电质层并且与非绝缘体结构接触。第一电通孔穿过第一层间介电质层并且与非绝缘体结构接触,其中第一热通孔及第一电通孔具有不同材料及相同高度。
在一些实施例中,第一热通孔具有与第一电通孔的热膨胀系数相比较小的热膨胀系数。
在一些实施例中,第一热通孔具有与第一层间介电质层的导热性相比较大的导热性。
在一些实施例中,第一热通孔具有与第一电通孔的导热性相比较小的导热性。
在一些实施例中,第一热通孔具有与第一电通孔的电阻相比较高的电阻。
在一些实施例中,第一热通孔是介电质。
在一些实施例中,此装置进一步包括在第一层间介电质层上方并与第一热通孔接触的第一金属接线。
在一些实施例中,此装置进一步包括第二层间介电质层、第二热通孔及第二电通孔。第二层间介电质层在第一金属接线上方。第二热通孔穿过第二层间介电质层并且与第一金属接线接触。第二电通孔是穿过第二层间介电质层并且与第一金属接线接触,其中第二热通孔及第二电通孔具有不同材料及相同高度。
在一些实施例中,第二热通孔具有与第二电通孔的热膨胀系数相比较小的热膨胀系数。
在一些实施例中,第二热通孔具有与第二层间介电质层的导热性相比较大的导热性。
在一些实施例中,第二热通孔具有与第二电通孔的导热性相比较小的导热性。
在一些实施例中,第二热通孔具有于第二电通孔的电阻相比较高的电阻。
在一些实施例中,第二热通孔是介电质。
根据本揭示的各个实施例,一种装置包括复数个半导体鳍、栅极结构、层间介电质层及第一隔离介电质。栅极结构是跨过半导体鳍。层间介电质层围绕栅极结构。第一隔离介电质是在半导体鳍之间并且具有与层间介电质层的导热性相比较大的导热性。
在一些实施例中,第一隔离介电质具有与层间介电质层的热膨胀系数相比较大的热膨胀系数。
在一些实施例中,此装置进一步包括围绕第一隔离介电质的第二隔离介电质,其中第一及第二隔离介电质是由不同材料制成。
在一些实施例中,第二隔离介电质具有与第一隔离介电质的导热性相比较小的导热性。
根据本揭示的各个实施例,提供一种方法。此方法包括如下步骤。在第一层间介电质层中形成第一孔以暴露第一层间介电质层下方的非绝缘体结构。在第一孔中形成热通孔。在第一层间介电质层中形成第二孔以暴露非绝缘体结构。在第二孔中使用与热通孔不同的材料形成电通孔。
在一些实施例中,在形成热通孔之后执行形成第二孔。
在一些实施例中,形成热通孔包括如下步骤。利用导热材料过度填充第一孔。平坦化导热材料,直到第一介电层不由导热材料覆盖。
上述内容描绘了若干实施例的特征,使得熟悉此项技术者可更好地理解本揭示的态样,熟悉此项技术者应了解可轻易使用本揭示作为设计或修改其他制程及结构的基础,以便实行本文所介绍的实施例的相同目的及/或实现相同优点。熟悉该项技术者亦应认识到,此类等效结构并未脱离本揭示的精神及范畴,且可在不脱离本揭示的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (1)

1.一种半导体装置,其特征在于,包含:
一非绝缘体结构;
一第一层间介电质层,在该非绝缘体结构上方;
一第一热通孔,穿过该第一层间介电质层并且与该非绝缘体结构接触;以及
一第一电通孔,穿过该第一层间介电质层并且与该非绝缘体结构接触,其中该第一热通孔及该第一电通孔具有不同材料及相同高度。
CN201811442707.2A 2017-11-30 2018-11-29 半导体装置 Pending CN109860145A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593148P 2017-11-30 2017-11-30
US62/593,148 2017-11-30
US16/166,608 US10804180B2 (en) 2017-11-30 2018-10-22 Semiconductor device and method for manufacturing the same
US16/166,608 2018-10-22

Publications (1)

Publication Number Publication Date
CN109860145A true CN109860145A (zh) 2019-06-07

Family

ID=66634545

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811442707.2A Pending CN109860145A (zh) 2017-11-30 2018-11-29 半导体装置

Country Status (3)

Country Link
US (2) US10804180B2 (zh)
CN (1) CN109860145A (zh)
TW (1) TW201926720A (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置
TWI741935B (zh) * 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
US11972999B2 (en) 2021-12-07 2024-04-30 Globalfoundries U.S. Inc. Unlanded thermal dissipation pillar adjacent active contact
US20230395379A1 (en) * 2022-06-07 2023-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and formation method thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
FI20040592A (fi) * 2004-04-27 2005-10-28 Imbera Electronics Oy Lämmön johtaminen upotetusta komponentista
JP4688526B2 (ja) * 2005-03-03 2011-05-25 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
CN102007609B (zh) * 2008-04-18 2013-01-23 旭硝子株式会社 发光二极管封装
KR101289186B1 (ko) * 2011-04-15 2013-07-26 삼성전기주식회사 인쇄회로기판 및 그 제조방법
KR20130044052A (ko) * 2011-10-21 2013-05-02 에스케이하이닉스 주식회사 적층 반도체 패키지
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
JP2015211204A (ja) * 2014-04-30 2015-11-24 イビデン株式会社 回路基板及びその製造方法
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices

Also Published As

Publication number Publication date
US20190164866A1 (en) 2019-05-30
US10804180B2 (en) 2020-10-13
US20210043538A1 (en) 2021-02-11
US11551992B2 (en) 2023-01-10
TW201926720A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
US10468530B2 (en) Semiconductor structure with source/drain multi-layer structure and method for forming the same
KR101971403B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI636497B (zh) 半導體元件及其製造方法
CN104867967B (zh) 半导体器件及其制造方法
US11721763B2 (en) Semiconductor device and manufacturing method thereof
TWI542017B (zh) 場效電晶體及其製作方法
US9076762B2 (en) Contact structure of semiconductor device
CN109860145A (zh) 半导体装置
TWI569367B (zh) 互連結構及其製造方法
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
TWI701766B (zh) 積體電路裝置及其形成方法
CN109755119A (zh) 形成集成电路的方法
US9472620B1 (en) Semiconductor device including fin structures and manufacturing method thereof
US9761683B2 (en) Semiconductor device and manufacturing method thereof
CN105845725B (zh) 一种半导体器件及其制造方法和电子装置
TW201923869A (zh) 閘極切割方法與積體電路裝置
TW201942977A (zh) 半導體結構
CN104425612B (zh) 具有硅化物的半导体器件
CN109727867A (zh) 半导体器件及其制造方法
KR102043909B1 (ko) 핀형 전계 효과 트랜지스터를 위한 소스 및 드레인 형성 기법
CN107665825B (zh) 半导体器件以及PMOS FET的源极/漏极结构和PMOS FinFET的制造方法
CN109427588A (zh) 制造半导体器件的方法和半导体器件
CN107887428A (zh) 半导体器件及其制造方法
CN110729193A (zh) 半导体装置的形成方法
CN108231563A (zh) 制造半导体装置的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190607