CN108231563A - 制造半导体装置的方法 - Google Patents

制造半导体装置的方法 Download PDF

Info

Publication number
CN108231563A
CN108231563A CN201711035734.3A CN201711035734A CN108231563A CN 108231563 A CN108231563 A CN 108231563A CN 201711035734 A CN201711035734 A CN 201711035734A CN 108231563 A CN108231563 A CN 108231563A
Authority
CN
China
Prior art keywords
layer
gate electrode
height
semiconductor device
electrode structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201711035734.3A
Other languages
English (en)
Inventor
罗伊辰
林立德
黄玉莲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108231563A publication Critical patent/CN108231563A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种制造半导体装置的方法,包括形成栅极电极结构于半导体基板的第一区域之上,和通过使卤化物与氧气反应,选择性地形成覆盖栅极电极结构的氧化物层,以增加栅极电极结构的高度。卤化物可以是四氯化硅,而氧化物层可以是二氧化硅。栅极电极结构可以是虚设栅极电极,其在随后被移除,并且被另一个栅极电极结构取代。

Description

制造半导体装置的方法
技术领域
本发明实施例是有关一种制造半导体装置的方法;特别是关于一种在半导体装置处理期间,增加半导体装置的各种元件的水平高度的方法。
背景技术
因为半导体工业已经进入纳米科技制程阶段,以追求较高的装置密度、较高的性能、以及较低的成本,从而导致制造和设计等问题需要克服。尤其,增加装置密度已产生了非常高的栅极高度和栅极高宽比。高的栅极高度和栅极高宽比往往导致栅极倾斜或倒塌。此外,随着在半导体装置上执行更多的步骤以增加装置密度,越来越多的制程步骤暴露出栅极电极,其可能劣化(degrade)栅极电极并导致栅极电极的高度收缩变矮。如果栅极高度太矮,则在形成覆盖层期间,装置可能会受到损害。所以,期望一种能在整个半导体处理操作中,保持适当的栅极高度的方法。
发明内容
一种制造半导体装置的方法,包括形成一栅极电极结构于一半导体基板的一第一区域之上;以及通过使一卤化物与一氧气反应,选择性地形成覆盖栅极电极结构的一氧化物层,以增加栅极电极结构的一高度。
附图说明
当结合附图阅读时,从以下详细描述中可以更好地理解本揭示内容的各个方面。应注意,依据工业中的标准实务,多个特征并未按比例绘制。实际上,多个特征的尺寸可任意增大或缩小,以便使论述明晰。
图1为根据本揭示内容的一实施例的半导体装置的平面示意图;
图2揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图;
图3揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段中,沿着图1的A-A线的剖面示意图,此连续制程是在图2的装置上执行的;
图4揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图3的装置上执行的;
图5揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图4的装置上执行的;
图6揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段中,沿着图1的B-B线的剖面示意图,此连续制程是在图5的装置上执行的;
图7揭示根据本揭示内容的一实施例的连续制程的一个阶段的示意图,此连续制程是在图6的装置上执行的;
图8揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图7的装置上执行的;
图9揭示根据本揭示内容的一实施例的图8的半导体装置沿着图1的A-A线的剖面示意图的一个阶段的示意图;
图10揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的沿着图1的B-B线的剖面示意图一个阶段的示意图,此连续制程是在图8的装置上执行的;
图11揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图10的装置上执行的;
图12揭示根据本揭示内容的另一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图11的装置上执行的;
图13揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图12的装置上执行的;
图14揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图13的装置上执行的;
图15揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图14的装置上执行的;
图16揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图14的装置上执行的;
图17揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图16的装置上执行的;
图18揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图17的装置上执行的;
图19揭示根据本揭示内容的一实施例的用于制造半导体装置的连续制程的一个阶段的示意图,此连续制程是在图18的装置上执行的。
具体实施方式
应当理解,以下揭示案提供许多不同实施例或实例以用于实现所提供标的物的不同的特征。下文描述组件及排列的特定实例以简化本揭示内容。当然,此等仅仅为实例,并不旨在限制本揭示内容。例如,元件的尺寸不限于所揭示的范围或值,而是可以取决于装置的制程条件和/或所需性质。此外,在随后描述中的在第二特征之上或在第二特征上形成第一特征可包括形成直接接触的第一特征和第二特征的实施例,还可以包括在第一特征和第二特征之间形成额外特征,从而使第一特征和第二特征不直接接触的实施例。出于简化及清楚的目的,可以以不同的尺度任意绘制各种特征。
另外,空间相对用语,诸如“下方”、“以下”、“下部”、“上方”、“上部”及类似者,在此用于简化描述附图所示的一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除附图中描绘的方向外,空间相对用语旨在包含于使用或操作中的装置的不同方向。装置可为不同的方向(旋转90度或在其他的方向),并且在此使用的空间相关描述词也可相应地被解释。此外,用语“由...制成”可能意味着“包括”或“由...组成”。
为了防止半导体装置在半导体装置的建构制程期间被损坏,保持半导体装置的各种组件的适当的水平高度是重要的。水平高度可能会因为多种半导体处理步骤(包括各种蚀刻操作)而降低。根据本揭示内容的一些实施例,为了抵消水平高度收缩,在半导体处理期间,增加了栅极电极高度或层间介电层的高度。
图1是根据本揭示内容的半导体装置的一实施例的平面示意图。
图2至图11揭示了根据本揭示内容的多个实施例的用于制造半导体装置的多个示例性连续制程。应当理解,可以在图2至图11所示的多个处理之前、期间、以及之后,提供额外的多个操作,并且对于方法中的特定实施例,可以替换或排除下面描述的一些操作。多个操作/制程的顺序是可以互换的。
图1绘示半导体装置的平面示意图。如图1所示,形成多个栅极电极结构65覆盖多个鳍状结构15。虽然是揭示了三个鳍状结构和三个栅极电极结构,根据本揭示内容的多个方法和装置可以包括一个、两个、四个或更多个的鳍状结构和一个、两个、四个或更多个的栅极电极结构。
在本揭示内容的一些实施例中,提供半导体基板10。基板10包括至少在其表面部分上的单晶半导体层。基板10可以包括单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、InP或其任何组合。在一特定实施例中,基板10由Si制成。
如图3所示,在一些实施例中,图案化半导体基板10,以形成多个鳍状结构15。在一些实施例中,通过多个光微影和蚀刻操作,图案化半导体基板10。在其他实施例中,通过多个半导体材料沉积操作,形成鳍状结构15于基板10上。如图1所示,鳍状结构15在第一方向(例如Y方向)上延伸,并且多个鳍状结构15沿着第二方向(例如X方向)排列,第二方向大致上垂直于第一方向。
可以通过任何合适的方法图案化鳍片。例如,可以使用一个或多个光微影制程来图案化鳍片,包括双重图案化或多重图案化制程。通常,双重图案化或多重图案化制程结合多个光微影和自对准(self-aligned)制程,从而允许所产生的多个图案具有例如多个间距(pitches),此多个间距小于使用单个、直接的光微影制程所得到的多个图案的间距。例如,在一实施例中,形成牺牲层于基板之上,并且使用光微影制程图案化牺牲层。使用自对准制程形成与图案化的牺牲层并排靠着的间隔件。接着,移除牺牲层,并且可以使用剩余的间隔件来图案化多个鳍片。
在一些实施例中,如图4所示,隔离绝缘层20(例如浅沟槽隔离区)填充于鳍状结构15之间的空间。
隔离绝缘层20包括一层或多层绝缘材料。用于绝缘层20的绝缘材料可以包括氧化硅、氮化硅、氮氧化硅(SiON)、SiOCN、氟掺杂硅酸盐玻璃(fluorine-doped silicateglass,FSG)、低k介电材料或通过低压化学气相沉积(low pressure chemical vapordeposition,LPCVD)、等离子辅助化学气相沉积(plasma enhanced chemical vapordeposition,PECVD)或可流动式化学气相沉积(flowable CVD)所形成的任何其他合适的介电材料。在形成隔离绝缘层20之后,可以执行退火操作。
在一些实施例中,如图4所示,隔离绝缘材料在鳍状结构的最高表面之上延伸,并且随后执行诸如化学机械研磨(chemical mechanical polishing,CMP)法和/或回蚀刻(etch-back)法的平坦化操作,以移除隔离绝缘层20的上部。进一步地,如图5所示,进行额外的回蚀操作或蚀刻操作,以减少隔离绝缘层20的高度。在特定实施例中,从隔离绝缘层20突出的鳍状结构15的多个部分,将成为半导体装置的通道区,而嵌入隔离绝缘层20的鳍状结构15的多个部分,将成为半导体装置的井区。
在一些实施例中,如图6(沿着图1的B-B线的剖面示意图)所示,形成栅极堆叠结构于鳍状结构15上。栅极堆叠结构包括在鳍状结构15上形成的栅极介电层25、在栅极介电层25上形成的栅极电极层30、以及在栅极电极层30上形成的硬罩幕层35。在一些实施例中,栅极堆叠结构是虚设栅极堆叠结构,栅极介电层25是虚设栅极介电层,并且栅极电极层30是虚设栅极电极层。
在特定实施例中,栅极介电层25包括一层或多层介电材料(例如氧化硅、氮化硅)、高k介电材料、其他合适的介电材料和/或其组合。高k介电材料的实例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化钛、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料和/或其组合。在一些实施例中,栅极介电层25包括在鳍状结构15和介电材料之间形成的界面层(未绘示)。
栅极介电层25可以通过化学气相沉积、原子层沉积(atomic layer deposition,ALD)或任何合适的方法来形成。在一些实施例中,栅极介电层25的厚度范围为约1nm至约6nm。
栅极电极层30形成于栅极介电层25上。栅极电极层30包括一层或多层导电材料,例如多晶硅、铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他合适的材料和/或其组合。栅极电极层30可以通过化学气相沉积、原子层沉积、电镀或其他合适的方法来形成。
在本揭示内容的特定实施例中,一个或多个功函数调整层(未绘示)夹置于栅极介电层25和栅极电极层30之间。功函数调整层由导电材料制成,导电材料例如为TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的单层,或者这些材料的两种或多种的多层。对于N型场效晶体管(n-type field effect transistor,nFET),使用TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi、以及TaSi中的一种或多种作为功函数调整层,而对于P型场效晶体管(p-type field effect transistor,pFET),使用TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC、以及Co中的一种或多种作为功函数调整层。功函数调整层可以通过原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀(e-beam evaporation)或其他合适的制程来形成。进一步地,针对N型场效晶体管和P型场效晶体管,可以分别使用不同的金属层来形成功函数调整层。
在一些实施例中,当栅极堆叠是虚设栅极堆叠时,栅极介电层25是氧化硅,并且栅极电极层30是多晶硅。
在一些实施例中,硬罩幕层35可以包括一层或多层氮化硅或氧化硅,并且可以通过化学气相沉积、物理气相沉积、原子层沉积或任何其他合适的技术来形成。
如图7所示,随后通过图案化栅极堆叠结构形成栅极电极结构32。使用光微影和蚀刻技术图案化硬罩幕层35,然后硬罩幕中的图案延伸通过栅极电极层30和栅极介电层25,并且使用诸如非等向性蚀刻的适当蚀刻技术来暴露鳍状结构15。栅极电极结构32沿着与鳍状结构15延伸的第一方向(Y方向)大致垂直的第二方向(X方向)延伸。栅极电极结构32包括栅极介电层25和栅极电极层30。在一些实施例中,栅极电极结构32还包括设置在栅极电极层30和栅极介电层25的相对侧壁上的栅极侧壁45(参见图10)。在一些实施例中,在图案化栅极电极结构之后,移除硬罩幕层35。
在一些实施例中,如图7所示,栅极电极结构32具有高度H1,高度H1是从鳍状结构15的顶部到栅极电极层30的最上表面或到硬罩幕层35测量得到。在特定实施例中,高度H1的范围为30nm至300nm。
在半导体装置处理的过程中,因为各种蚀刻操作(例如多晶硅图案化操作),降低了栅极电极层的高度。如图8所示,栅极电极层30和硬罩幕层35具有高度H2,高度H2是从鳍状结构15的顶部到栅极电极层30的最上表面或到硬罩幕层35测量得到,其中高度H2<H1。在一些实施例中,H2的范围为约30nm至约290nm。为了增加高度,如图8所示,随后选择性地形成氧化硅层40于栅极电极结构32上。
根据本揭示内容的一些实施例,执行氧化硅沉积操作,以增加栅极电极结构32的高度,从而形成氧化硅层40。在特定实施例中,氧化硅层40的高度H3的范围为约5nm至约20nm。在特定实施例中,(H2+H3)≥H1。
在一些实施例中,通过沉积由卤化硅和氧气反应所形成的二氧化硅,增加了栅极电极结构层32的高度。在特定实施例中,卤化硅是四氯化硅,并且根据下式进行氧化硅沉积:SiCl4+O2→SiO2+2Cl2
在半导体装置制造期间,可以沉积氧化硅层40于多个位置。在特定实施例中,在图案化栅极电极层之后、在金属栅极回蚀之后或在自对准接触件形成之后,执行二氧化硅沉积,以增加水平高度(层间介电层高度或栅极高度)。
在一些实施例中,沉积氧化硅层是在约55℃至约110℃的温度和约1.9mT至约5mT的压力下,执行等离子沉积。在特定实施例中,使用具有约100sccm至约200sccm流速的氮气气体载体。在一些实施例中,SiCl4和O2流速范围为约4sccm至约16sccm。在特定实施例中,SiCl4流速和O2流速均为约8sccm。在一些实施例中,SiCl4流速与O2流速的比例为约4/1至约1/100。
根据本揭示内容,透过四氯化硅的氧化而沉积的二氧化硅,没有形成保形涂层(conformal coating)于半导体装置上。氧化硅层40是沉积于栅极电极层30或硬罩幕层35的顶表面上,或者是沉积在绝缘层的顶表面上,例如层间绝缘层的顶表面上,而不是在侧壁上。此外,相较于沉积于硅表面或金属表面上,根据上述操作所沉积的二氧化硅,优先地沉积于含氧的表面上,例如氧化硅。因为氧气等离子氧化硅和金属表面,一些二氧化硅也将沉积于硅和金属表面上。根据一些实施例,二氧化硅沉积增加了层间介电层的高度,而大致上没有形成氧化物层于硅或金属表面之上。在一些实施例中,沉积在硅或金属表面上的二氧化硅的量为沉积在层间介电层上的量的约1/10至约1/100。在一些实施例中,在二氧化硅表面上的二氧化硅的沉积速率是二氧化硅沉积于硅或金属表面上的速率的约10倍至约100倍。在一些实施例中,二氧化硅将以较低速率沉积于氮化物表面(例如氮化硅表面)上,此较低速率指的是比二氧化硅沉积于氧化物表面上的速率还低。在一些实施例中,在二氧化硅表面上的二氧化硅的沉积速率与沉积于氮化物表面上的速率相比,为大致相同至约三倍。然而,一旦形成氧化硅层40于氮化物表面上,则二氧化硅沉积的速率将增加,因为后来沉积的二氧化硅将沉积于氧化物表面上,而不是沉积于氮化物表面上。根据四氯化硅氧化操作而沉积的二氧化硅,与下表面(例如在沟槽中和在栅极电极结构32之间的表面)相比,优先地沉积于最上面的可接受表面(氧化物表面)上。
在一些实施例中,在二氧化硅的沉积期间,将Cl2/NF3的蚀刻剂气体混合物引入SiCl4和O2等离子中。在一些实施例中,二氧化硅沉积和蚀刻同时发生。在装置的较高部分,沉积速率大于蚀刻速率,而在装置的较低部分,例如在栅极之间的沟槽中,蚀刻速率大于二氧化硅沉积速率。其结果是,沉积在装置的较低部分的少量二氧化硅被蚀刻剂所移除。在一些实施例中,Cl2的流速范围为8sccm至20sccm,NF3的流速范围为10sccm至20sccm。在特定实施例中,Cl2的流速为13sccm,NF3的流速为16scccm。在一些实施例中,Cl2/NF3流速的比例范围为约3/1至约0(即不含Cl2流)。
图9是沿着图1的A-A线剖面示意图,其中绘示栅极电极层30、围绕鳍状结构15的栅极介电层25以及形成在栅极电极层30上的硬罩幕层35和氧化硅层40。
图10是沿着图1的B-B线的剖面示意图,绘示绝缘侧壁间隔件45形成于栅极电极层30的侧壁、栅极介电层25的侧壁、硬罩幕层35的侧壁、以及氧化硅层40的侧壁上;并且源极/漏极区域90形成于鳍状结构15中的栅极电极结构32的相对侧。可以通过合适的沉积和蚀刻技术来形成侧壁间隔件45,并且侧壁间隔件45可以包括一层或多层氮化硅、氧化硅、碳化硅、氮氧化硅、氮氧碳化硅、其他合适的材料或其组合。
侧壁绝缘材料的毯覆层可以通过化学气相沉积、物理气相沉积、原子层沉积或其他合适的技术来形成。然后,对侧壁绝缘材料执行非等向性蚀刻,以形成一对侧壁绝缘层(间隔件)45于栅极电极层30、栅极介电层25、硬罩幕层35、以及氧化硅层40的两个主侧面上。在一些实施例中,侧壁间隔件45的厚度范围为约5nm至约30nm,而在其他实施例中,则为约10nm至约20nm。
在一些实施例中,如图10所示,随后形成源极/漏极区域90于栅极电极结构32的相对侧上。在本揭示内容中使用源极/漏极来指明源极区域或漏极区域。在一些实施例中,可以通过注入掺杂剂到鳍状结构15中来形成源极/漏极区域90。在一些实施例中,掺杂剂选自磷、砷、锑、硼、氟化硼、铝或镓。在一些实施例中,掺杂剂以1×1017至1×1021atoms/cm3的浓度注入鳍状结构。
在一些实施例中,使即将形成源极/漏极区域90的鳍状结构15的部分凹陷或被蚀刻,并且随后通过一或多个磊晶成长制程来形成源极/漏极区域90,从而形成一个或多个Si、SiC、SiGe、SiP、SiCP或III-V族半导体材料的结晶层于鳍状结构15上。如上所述,结晶层掺杂有合适的掺杂剂。磊晶制程包括化学气相沉积技术(例如气相磊晶(vapor-phaseepitaxy,VPE)和/或超真空化学气相沉积(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶和/或其他合适的制程。在其他实施例中,在没有形成凹陷的情况下,形成磊晶成长的源极/漏极区域90。
如图11所示,形成蚀刻停止层95和层间介电层50于图10的装置之上,而覆盖栅极电极结构32和源极/漏极区域90。层间介电层50具有从鳍状结构15的顶表面测量得到的高度H4。在一些实施例中,高度H4的范围为约80nm至约450nm。在一些实施例中,蚀刻停止层95包括一层或多层绝缘材料,例如包括SiN、SiCN、以及SiOCN的氮化硅基材料。在一些实施例中,蚀刻停止层95的厚度范围为约3nm至约15nm,在其他实施例中,则为约4nm至约8nm。在一些实施例中,层间介电层50是由绝缘材料制成的绝缘层,例如一层或多层氧化硅、氮化硅、低k介电材料或其组合。可以通过化学气相沉积来形成层间介电层50。在特定实施例中,层间介电层50的介电质是旋涂式玻璃(spin on glass,SOG),包括磷硅酸盐玻璃(phosphosilicate glass,PSG)或硼磷硅玻璃(borophosphosilicate glass,BPSG)。
图12至图15揭示根据本揭示内容的另一实施例的用于制造半导体装置的连续制程的沿着图1的B-B线的剖面示意图。
在此实施例中,栅极电极层30和栅极介电层25是虚设栅极电极层和虚设栅极介电层。例如通过化学机械研磨来平坦化层间介电层50,并且移除硬罩幕层35和氧化硅层40(如果存在)。如图12所示,随后通过光微影以及蚀刻来移除虚设栅极电极层和虚设栅极介电层,以产生栅极空间55。
如图13所示,随后形成高k栅极介电层60和金属栅极电极层65于栅极空间55中。用于高k栅极介电层60的高k介电材料的实例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化钛、二氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料或其任何组合。在一些实施例中,栅极介电层60包括在鳍状结构15和栅极介电层60之间形成的界面层(未绘示)。可以通过化学气相沉积、原子层沉积或任何合适的方法来形成栅极介电层60。
金属栅极电极层65包括一层或多层金属,例如铝、铜、钛、钽、钨、钴、钼、氮化钽、硅化镍、硅化钴、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金属合金、其他合适的材料或其任何组合。可以通过化学气相沉积、原子层沉积、电镀或其他合适的方法来形成金属栅极电极层65。
在本揭示内容的特定实施例中,一个或多个功函数调整层(未绘示)可夹置于栅极介电层60与栅极电极层65之间。功函数调整层由导电材料制成,例如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi、TiAlC的单层、这些材料的两种或多种的多层或其任何组合。可以通过原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀或其他合适的方法来形成功函数调整层。
在一些实施例中,如图14所示,随后在金属栅极回蚀操作中,蚀刻而使金属栅极电极层65凹陷,以形成凹部70。在回蚀操作之后,层间介电层50具有高度H6,高度H6是从鳍状结构15的顶表面到层间介电层50的最上表面测量得到。在一些实施例中,层间介电层50的高度H6为约70nm至约300nm。
在特定实施例中,在图案化栅极电极层之后、在金属栅极回蚀之后或在自对准接触件形成之后,执行二氧化硅沉积,以增加水平高度(层间介电层高度)。例如,在金属栅极回蚀操作期间或在作为自对准接触件形成的一部分的氮化硅硬罩幕移除操作期间,层间介电层50的高度可能会损失,使得层间介电层50的高度H6小于在回蚀或硬罩幕移除操作之前的高度H5(参见图13)。
如图15所示,在一些实施例中,通过形成氧化硅层75于层间介电层50上,使层间介电层50的高度增加。在特定实施例中,氧化硅层75的高度H7为约5nm至约20nm。在特定实施例中,(H6+H7)≥H5。
在一些实施例中,通过沉积由卤化硅和氧气反应所形成的氧化硅层75,增加了层间介电层50的高度。在特定实施例中,卤化硅是四氯化硅,并且根据下式进行氧化硅沉积:SiCl4+O2→SiO2+2Cl2
透过四氯化硅的氧化而沉积的二氧化硅,没有形成保形涂层于半导体装置上。如图15所示,与金属栅极电极层65的顶部相比,氧化硅层75优选地沉积于层间介电层50的顶表面上。根据一些实施例,二氧化硅沉积增加了层间介电层50的高度,而大致上没有形成氧化物层于金属栅极电极层65上。在一些实施例中,沉积在金属栅极电极层65的顶部上的二氧化硅的量为沉积在层间介电层50上的量的约1/10至约1/100。在一些实施例中,在二氧化硅表面上的二氧化硅沉积速率是在金属栅极电极层65的顶部上的二氧化硅沉积速率的约10倍至约100倍。
图16至图19揭示根据本揭示内容的另一实施例的用于制造半导体装置的连续制程的沿着图1的B-B线的剖面示意图。在一些实施例中,如图16所示,形成帽盖绝缘层80于图14的凹陷的金属栅极电极层65之上。帽盖绝缘层80包括一层或多层绝缘材料,例如包括SiN、SiCN、以及SiOCN的氮化硅基材料。可以通过化学气相沉积、包括溅镀的物理气相沉积、原子层沉积或其他合适的成膜方法来形成帽盖绝缘层80。在一些实施例中,形成帽盖绝缘层80于层间介电层50之上,并且执行平坦化操作,例如回蚀制程和/或化学机械研磨制程,从而获得图16的结构。在一些实施例中,帽盖绝缘层80的厚度范围为约10nm至约250nm,在其他实施例中,则为约15nm至约80nm。在一些实施例中,形成帽盖绝缘层80以作为自对准接触件形成操作的一部分。
如图17所示,在一些实施例中,随后蚀刻而使帽盖绝缘层80凹陷,以形成凹部110。由于各种蚀刻操作可能造成层间介电层50的高度降低,从而提供了高度H8,其中H8<H5。因此,在一些实施例中,通过形成氧化硅层85于层间介电层50上,以增加层间介电层50的高度。在特定实施例中,氧化硅层85的高度H9的范围为约5nm至约20nm。在特定实施例中,(H8+H9)≥H5。
在一些实施例中,通过沉积由卤化硅和氧气反应所形成的二氧化硅,增加了层间介电层50的高度。在特定实施例中,卤化硅是四氯化硅,并且根据下式进行氧化硅沉积:SiCl4+O2→SiO2+2Cl2。在一些实施例中,二氧化硅将以较低速率沉积于氮化物表面(例如帽盖绝缘层80)上,此较低速率指的是比二氧化硅沉积于氧化物表面上的速率还低。在一些实施例中,在二氧化硅表面上的二氧化硅的沉积速率与沉积于帽盖绝缘层80上的速率相比,为大致相同至约三倍。
图18绘示形成第二层间介电层115于图17的装置之上。在一些实施例中,第二层间介电层115是由绝缘材料制成的绝缘层,绝缘材料例如为一层或多层氧化硅、氮化硅、低k介电材料或其组合。可以通过化学气相沉积来形成层间介电层115。在特定实施例中,层间介电层115的介电质是旋涂式玻璃,包括磷硅酸盐玻璃或硼磷硅玻璃。
在一些实施例中,通过使用合适的光微影和蚀刻操作,形成多个通孔于层间介电层50和层间介电层115中。如图19所示,使用合适的材料沉积技术,形成源极/漏极接触件120于一些通孔中,提供了到源极/漏极区域90的电接触,并且形成栅极电极接触件125于一些通孔中,提供了到栅极电极层65的电接触。在一些实施例中,在形成接触件120和接触件125之前,形成接触阻挡内榇层于通孔中(未绘示)。在一些实施例中,由金属氮化物(如TaN或TiN)形成接触阻挡内榇层。可以通过原子层沉积、物理气相沉积、化学气相沉积或其他合适的制程来形成接触阻挡内榇层。在一些实施例中,接触件120和接触件125由铝、铜、钛、钽、钨、钴、钼、镍、其合金、以及其他合适的导电材料所形成。可以通过化学气相沉积、原子层沉积、电镀或其他合适的方法来形成接触件120和接触件125。
应当理解,半导体装置可经历进一步的制造制程,以形成各种特征,例如接触件/通孔、互连金属层、介电层、钝化层等。在半导体装置上执行的额外操作可以包括光微影、蚀刻、化学机械研磨、包括快速热退火的热处理、沉积、包括离子植入的掺杂、光阻灰化、以及液体溶剂清洗。
本揭示内容提供了增加栅极电极结构或层间介电层高度的方法。特定的半导体处理操作(例如栅极电极层的图案化、金属栅极回蚀、以及自对准接触件形成)可能导致栅极电极或层间介电质的损失。在特定实施例中,为了恢复栅极电极或层间介电层的原始高度,在图案化栅极电极层之后、在金属栅极回蚀之后或在自对准接触件形成之后,执行二氧化硅沉积,以增加水平高度(层间介电层高度或栅极高度),从而提高半导体制造制程的产量。
本揭示内容有助于防止高的高宽比的栅极电极的多晶硅倒塌。本揭示内容还免除了使用表面活性剂的表面修饰技术,此使用表面活性剂的表面修饰技术用于防止高的高宽比的栅极电极的多晶硅倒塌,但在此表面修饰技术之后需要移除所使用的表面活性剂。
应当理解,并不是所有的优势都在本揭示内容中被讨论,对于所有的实施例或实例并不需要特别的优势,并且其他实施例或实例可以提供不同的优势。
本揭示内容的一实施例是一种制造半导体装置的方法,包括形成栅极电极结构于半导体基板的第一区域之上,以及通过使卤化物与氧气反应,选择性地形成覆盖栅极电极结构的氧化物层,以增加栅极电极结构的高度。在一实施例中,卤化物是四氯化硅。在一实施例中,氧化物层是二氧化硅。在一实施例中,覆盖栅极电极结构的氧化物层的高度为约5nm至约20nm。在一实施例中,在形成栅极电极结构之前,方法包括图案化基板以形成沿第一方向延伸的鳍片,和形成覆盖鳍片并沿第二方向延伸的栅极电极结构,第二方向基本上垂直于第一方向。在一实施例中,方法包括形成源极/漏极区域于半导体基板的第二区域上,其中半导体基板的第二区域位于栅极电极结构的相对侧。在一实施例中,形成栅极电极结构包括形成覆盖基板的栅极介电层、形成覆盖栅极介电层的栅极电极层、形成覆盖栅极电极层的硬罩幕层、图案化硬罩幕层以形成图案化的硬罩幕、以及移除没有被硬罩幕所覆盖的栅极电极层和栅极介电层的部分。在一实施例中,形成的氧化物覆盖硬罩幕。
本揭示内容的另一实施例是一种制造半导体装置的方法,包括形成虚设栅极电极结构于半导体基板的一区域之上。形成绝缘层于虚设栅极电极结构之上,并且移除虚设栅极电极结构。形成栅极电极结构于半导体基板的该区域之上,该区域是虚设栅极电极结构被移除的区域。通过使卤化物与氧气反应,形成覆盖绝缘层的氧化物层,以增加绝缘层的高度,而实质上没有形成氧化物层于栅极电极结构之上。在一实施例中,卤化物是四氯化硅。在一实施例中,氧化物层是二氧化硅。在一实施例中,覆盖绝缘层的氧化物层的高度为约5nm至约20nm。在一实施例中,栅极电极结构包括高k栅极介电层和在高k栅极介电层之上形成的金属栅极电极。在一实施例中,方法包括在形成覆盖绝缘层的氧化物层之前,执行金属栅极电极的回蚀。
本揭示内容的另一实施例是一种制造半导体装置的方法,包括形成虚设栅极电极结构于半导体基板的一区域之上。形成第一绝缘层于虚设栅极电极结构之上,并且移除虚设栅极电极结构。形成栅极电极结构于半导体基板的该区域之上,该区域是虚设栅极电极结构被移除的区域。形成第二绝缘层于栅极电极结构之上。通过使卤化物与氧气反应,形成覆盖第一绝缘层的氧化物层,以增加第一绝缘层的高度,而实质上没有形成氧化物层于第二绝缘层之上。形成通孔于第一绝缘层和第二绝缘层中,暴露出栅极电极结构。沉积导电材料于通孔中,以形成栅极电极接触件。在一实施例中,卤化物是四氯化硅。在一实施例中,氧化物层是二氧化硅。在一实施例中,栅极电极结构包括高k栅极介电层和在高k栅极介电层之上形成的金属栅极电极。在一实施例中,方法包括在形成第二绝缘层于栅极电极结构上之前,使金属栅极电极凹陷。在一实施例中,第二绝缘层是氮化物层。
上文概述若干实施例或实例的特征,使得熟悉此项技术者可更好地理解本揭示内容的态样。熟悉此项技术者应了解,可轻易使用本揭示内容作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施例或实例的相同目的和/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭示内容的精神及范畴,且可在不脱离本揭示内容的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (1)

1.一种制造半导体装置的方法,其特征在于,包括:
形成一栅极电极结构于一半导体基板的一第一区域之上;以及
通过使一卤化物与一氧气反应,选择性地形成覆盖该栅极电极结构的一氧化物层,以增加该栅极电极结构的一高度。
CN201711035734.3A 2016-12-15 2017-10-30 制造半导体装置的方法 Pending CN108231563A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434814P 2016-12-15 2016-12-15
US62/434,814 2016-12-15
US15/644,600 2017-07-07
US15/644,600 US10164067B2 (en) 2016-12-15 2017-07-07 Method of fabricating a semiconductor device

Publications (1)

Publication Number Publication Date
CN108231563A true CN108231563A (zh) 2018-06-29

Family

ID=62556373

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711035734.3A Pending CN108231563A (zh) 2016-12-15 2017-10-30 制造半导体装置的方法

Country Status (3)

Country Link
US (3) US10164067B2 (zh)
CN (1) CN108231563A (zh)
TW (1) TW201824398A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10164067B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10790195B2 (en) * 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11038059B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10644138B2 (en) * 2018-08-14 2020-05-05 International Business Machines Corporation Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
CN110875237B (zh) * 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232188B1 (en) * 1997-07-31 2001-05-15 Texas Instruments Incorporated CMP-free disposable gate process
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7585773B2 (en) * 2006-11-03 2009-09-08 International Business Machines Corporation Non-conformal stress liner for enhanced MOSFET performance
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
KR101721036B1 (ko) * 2010-09-02 2017-03-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8614123B2 (en) * 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US20130309856A1 (en) * 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US10090360B2 (en) * 2015-02-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure including a plurality of trenches
TWI642188B (zh) * 2015-03-26 2018-11-21 聯華電子股份有限公司 半導體元件及其製作方法
US9558995B2 (en) * 2015-06-25 2017-01-31 International Business Machines Corporation HDP fill with reduced void formation and spacer damage
US9653356B2 (en) * 2015-08-10 2017-05-16 Globalfoundries Inc. Methods of forming self-aligned device level contact structures
KR102474431B1 (ko) * 2015-12-08 2022-12-06 삼성전자주식회사 반도체 소자의 제조방법
US10164067B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device

Also Published As

Publication number Publication date
TW201824398A (zh) 2018-07-01
US20190148524A1 (en) 2019-05-16
US10164067B2 (en) 2018-12-25
US20220029002A1 (en) 2022-01-27
US20180175171A1 (en) 2018-06-21
US11145749B2 (en) 2021-10-12

Similar Documents

Publication Publication Date Title
US20210035806A1 (en) Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US11502187B2 (en) Semiconductor device structure and method for forming the same
US9935103B2 (en) Semiconductor device and manufacturing method thereof
US10269802B2 (en) Semiconductor device and manufacturing method thereof
US9812576B2 (en) Semiconductor device and manufacturing method thereof
KR101713422B1 (ko) 핀의 보호층을 포함하는 핀 구조 전계 효과 트랜지스터 소자 구조체 및 그 형성방법
CN108231563A (zh) 制造半导体装置的方法
TWI659514B (zh) 半導體裝置及其製造方法
US10326023B2 (en) Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US10707123B2 (en) Etch profile control of interconnect structures
US10872892B2 (en) Semiconductor device and manufacturing method thereof
US10797050B2 (en) Fin field effect transistor (finFET) device structure with capping layer and method for forming the same
CN107665825A (zh) 半导体器件以及PMOS FET的源极/漏极结构和PMOS FinFET的制造方法
US20190148519A1 (en) Semiconductor structure and method for forming the same
TW202249282A (zh) 半導體元件
US11563109B2 (en) Semiconductor device structure and method for forming the same
US20230268390A1 (en) Semiconductor device structure and method for forming the same
US20240186417A1 (en) Semiconductor device structure and method for forming the same
US20220399461A1 (en) Semiconductor device structure and method for forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180629