TWI740465B - 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置 - Google Patents

具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置 Download PDF

Info

Publication number
TWI740465B
TWI740465B TW109113313A TW109113313A TWI740465B TW I740465 B TWI740465 B TW I740465B TW 109113313 A TW109113313 A TW 109113313A TW 109113313 A TW109113313 A TW 109113313A TW I740465 B TWI740465 B TW I740465B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
gate dielectric
gate
channel body
channel
Prior art date
Application number
TW109113313A
Other languages
English (en)
Other versions
TW202111945A (zh
Inventor
吳永俊
侯福居
蔡孟儒
Original Assignee
國立清華大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 國立清華大學 filed Critical 國立清華大學
Priority to US16/917,172 priority Critical patent/US11145740B2/en
Publication of TW202111945A publication Critical patent/TW202111945A/zh
Application granted granted Critical
Publication of TWI740465B publication Critical patent/TWI740465B/zh

Links

Images

Abstract

本發明提供一種具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,包括一具有一設置平面的半導體基底,及一立體式電晶體。立體式電晶體包括一實體通道、一汲極、一源極,及一閘極。實體通道具有一通道本體及一覆蓋通道本體的閘極介電層;通道本體自設置面朝上凸伸或設置於設置面之上,並配置有相反設置的一第一端與一第二端;閘極介電層是由一厚度介於2 nm至5 nm間的結晶性氧化鉿鋯所製成。該汲極自該設置面朝上凸伸以連接該通道本體的第一端。該源極自該設置面朝上凸伸以連接該通道本體的第二端。該閘極覆蓋該實體通道並電性隔絕於該汲極與源極。

Description

具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置
本發明是有關於一種鐵電電晶體(ferroelectric FET),特別是指一種具超薄結晶性氧化鉿鋯(hafnium zirconium oxide)之閘極介電層的鐵電電晶體裝置。
隨著可攜式電子裝置日漸輕薄短小化的趨勢與半導體製程更新速度的演進,往日所常見的平面型場效電晶體(field effect transistor;FET)已不敷使用,導致所屬技術領域的製程開發人員將研發重點著眼於鰭式場效電晶體(FinFET)。此外,基於鐵電材料(ferroelectrics)具有高介電常數,且本質上在達臨界電壓的條件下能呈現出極化反轉特性,因而具有負電容性質(negative capacitance),使其與半導體裝置(如,電晶體)放在一起時有助於降低場效電晶體的功耗問題。
參閱圖1、圖2、圖3與圖4,中華民國第201830694早期公開號發明專利案(以下稱前案1)公開的一種負電容場效電晶體(亦可被稱為鐵電場效電晶體)裝置NC-FET,其包括一半導體基板10,及複數鰭式場效電晶體11。各鰭式場效電晶體11具有一配置有一下部1111及一上部1112的鰭片結構111、一覆蓋各鰭片結構111之下部1111的隔離絕緣層112,與一設置於各鰭片結構111之上部1112的磊晶源極/汲極結構113。該等鰭式場效電晶體11之鰭片結構111是沿一X方向彼此間隔排列,且自該半導體基板10朝一Z方向凸伸而出並沿一Y方向延伸。該等鰭式場效電晶體11還包括複數間隔地設置於該隔離絕緣層112上的層間介電層114、一共用的閘極介電層115及一共用的功函數調整金屬層116。該等層間介電層114是間隔地自該隔離絕緣層112朝該Z方向凸伸,並沿該X方向與該Y方向延伸以彼此交錯於該隔離絕緣層112上並局部覆蓋各鰭式場效電晶體11。該共用的閘極介電層115覆蓋各鰭式場效電晶體11之鰭片結構111的上部1112與該等層間介電層14,且該共用的功函數調整金屬層116覆蓋該共用的閘極介電層115。
該前案1所述之共用的閘極介電層115是可經原子層沉積法(atomic layer deposition,以下簡稱ALD)所製成,並具有鐵電特性且厚度介於0.5 nm至5 nm間之金屬氧化物或金屬合金氧化物;如,氧化鉿鋯(HfZrO2 )。
前案1雖然公開其所使用之共用的閘極介電層115可利用閘極介電層115本身的鐵電特性,以令該等鰭式場效電晶體11成為該負電容場效電晶體裝置NC-FET。然而,前案1並未提出任何涉及其實施例之次臨界擺幅(subthreshold swing;以下簡稱SS)甚或是開電流對閉電流比值(以下簡稱ION /IOFF )等電性測試結果。因此,前案1是否能夠成功產製出具有負電容性質之場效電晶體以提供業界所需之SS與ION /IOFF 等電性,尚不得而知。
經上述說明可知,改良鐵電場效電晶體裝置之結構,以提供業界所需之SS與ION /IOFF 等電性,是所屬技術領域中的相關技術人員有待解決的課題。
因此,本發明的目的,即在提供一種能供業界所需之ION /IOFF 電性之具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置。
於是,本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,包括一具有一設置平面的半導體基底,及一立體式電晶體。該立體式電晶體包括一實體通道、一汲極、一源極,及一閘極。該實體通道具有一通道本體及一覆蓋該通道本體的閘極介電層;該通道本體自該設置面朝上凸伸或設置於該設置面之上,並配置有相反設置的一第一端與一第二端;該閘極介電層是由一厚度介於2 nm至5 nm間的結晶性氧化鉿鋯所製成。該汲極自該設置面朝上凸伸以連接該通道本體的第一端。該源極自該設置面朝上凸伸以連接該通道本體的第二端。該閘極覆蓋該實體通道並電性隔絕於該汲極與源極。在本發明中,該立體式電晶體之開電流對關電流的比值(ION /IOFF )是至少大於5×104
本發明的功效在於:利用該閘極介電層是具結晶性的氧化鉿鋯所貢獻的極化反轉特性,使其鐵電電晶體裝置之ION /IOFF 至少大於5×104 ,令本發明之具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置可實現優異的閘極控制,並適用於低功耗與高速邏輯運算等積體電路。
在本發明被詳細描述的前,應當注意在以下的說明內容中,類似的元件是以相同的編號來表示。
參閱圖5、圖6與圖7,本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置的一第一實施例,包括一具有一設置平面21的半導體基底2,及一立體式電晶體3。
該立體式電晶體3包括一實體通道31、一汲極32、一源極33,及一閘極34。該實體通道31具有一通道本體311及一覆蓋該通道本體311的閘極介電層312。該通道本體311自該設置面21朝上凸伸或設置於該設置面21之上,並配置有相反設置的一第一端3111與一第二端3112。該閘極介電層312是由一厚度介於2 nm至5 nm間的結晶性氧化鉿鋯所製成。該汲極32自該設置面21朝上凸伸以連接該通道本體311的第一端3111。該源極33自該設置面21朝上凸伸以連接該通道本體311的第二端3112。該閘極34覆蓋該實體通道31並電性隔絕於該汲極32與源極33。在本發明中,該立體式電晶體3之開電流對關電流的比值是至少大於5×104
適用於本發明該第一實施例之半導體基底2可以是一矽晶圓、一鍺晶圓,或一SOI晶圓,且該汲極32、該源極33與該通道本體311實質上是由矽、鍺,或矽鍺化合物所製成。
在本發明該第一實施例中,該半導體基底2是使用一如圖8所示之SOI晶圓200,該汲極32、該源極33與該通道本體311實質上是由矽所製成,且該通道本體311是自該設置面21朝上凸伸以致於該實體通道31是設置於該設置面21上,以令本發明該第一實施例之鐵電電晶體裝置是一鰭式鐵電電晶體(Fin FE-FET)裝置。在本發明該第一實施例中,該立體式電晶體3之開電流對關電流的比值(ION /IOFF )是大於106
較佳地,自連接該汲極32之該通道本體311的第一端3111至連接該源極33之該通道本體311的第二端3112所定義而成的一閘極長度LG 是介於10 nm至500 nm間,橫向於該閘極長度LG 的一通道寬度WCh 是介於3 nm至50 nm間。
詳細地來說,本發明該第一實施例之製法首先是如圖8所示,對由一矽晶圓201、一絕緣層202及一單晶矽層22所構成之該SOI晶圓200施予一反應式離子蝕刻(RIE)處理,以自該SOI晶圓200蝕刻出一鰭式圖案221,並定義出該半導體基底2之設置面21;其中,該鰭式圖案221定義出該通道本體311與連接該通道本體311之第一端3111與第二端3112的兩待摻雜本體30。實施完該反應式離子蝕刻處理後,對該SOI晶圓200及其上的鰭式圖案221實施RCA清洗。
進一步地,如圖9所示,將完成有該鰭式圖案221的該SOI晶圓200送至原子層沉積裝置(ALD)的反應腔(圖未示)內,並以含有鉿前驅物與含有鋯前驅物為一循環,依序於該ALD之反應腔內引入四-(二甲基胺基)鉿[Tetrakis(dimethylamino)hafnium,化學式為C8 H24 HfN4 ]與四-(二甲基胺基)鋯[Tetrakis(dimethylamino)zirconium,化學式為C8 H24 N4 Zr],從而透過ALD沉積出本發明該第一實施例之覆蓋該通道本體311的閘極介電層312;其中,該循環次數越多,該閘極介電層312的厚度越厚,且2 nm厚、3 nm厚與5 nm厚的閘極介電層312之ALD循環次數各為12次、18次與30次。
接著,如圖10所示,利用物理氣相沉積法(PVD)於該閘極介電層312上沉積一厚度為120 nm的氮化鉭(TaN)層341,並對該氮化鉭層341施予圖案化(patterned)處理以製得本發明該第一實施例之閘極34。
於製得該閘極34後,以10 keV之離子入射能對該等待摻雜本體30施予P31 之劑量為1×1015 /cm2 的離子佈植(ion implantation),以令各待摻雜本體30經離子佈植成如圖5所示之該第一實施例的該汲極32與該源極33。
最後,對實施完離子佈植的元件施予600˚C~750˚C之30秒的快速熱退火(RTA)處理,從而製得本發明該第一實施例之鰭式鐵電電晶體裝置。
此處需說明的是,本發明該第一實施例於實施完該反應式離子蝕刻(RIE)處理後所完成之鰭式圖案221的通道本體311之閘極長度LG 有100 nm與500 nm等規格,其通道寬度WCh 有20 nm與30 nm等規格,且於完成ALD後所沉積之閘極介電層312的厚度有2 nm、3 nm與5 nm。在本發明該第一實施例中,2 nm、3 nm與5 nm 之閘極介電層312所實施之RTA處理的溫度分別是750˚C、650˚C與600˚C。
由圖11所示之TEM影像可知,證實本發明該第一實施例之通道本體(Si)311的通道寬度WCh 為20 nm,且該通道本體(Si)311上覆蓋有該閘極介電層(HZO)312,其不可避免地於通道本體(Si)311與閘極介電層(HZO)312間因製程環境而形成有一原生氧化物(native oxide,SiO2 )層。
由圖12所示之GI-XRD圖可知,本發明該第一實施例之厚度為2 nm、3nm與5 nm之閘極介電層(HZO)312皆顯示有呈正交晶相(orthorhombic phase)之(111)繞射晶面之訊號峰,且5 nm厚之閘極介電層(HZO)312之(111)與(200)等繞射晶面更呈現出優選取向(preferred orientation),證實本發明該第一實施例之閘極介電層(HZO)312為正交晶相之結晶性的氧化鉿鋯。
由圖13、圖14與圖15所示之HRTEM影像可知,證實本發明該第一實施例之閘極介電層(HZO)312厚度為2 nm、3 nm與5 nm,且其原生氧化物(SiO2 )層厚度為0.9 nm、1.3 nm與1.1 nm。此外,由圖16所顯示之圖15的奈米電子繞射圖(NBD)圖可知,其在逆空間(reciprocal space)中顯示有(111)與(200)等晶面的正交晶相環,證實圖12所示之5 nm厚的閘極介電層(HZO)312為多晶態的正交晶相結構。
由圖17所示之ID 對VG 曲線圖可知,本發明該第一實施例之3 nm厚的閘極介電層(HZO)312在0.1 V之汲極電壓(VD )的操作條件下,其順向與逆向掃描的曲線趨近重疊[即,近似無遲滯(nearly hysteresis-free)],且其最小平均SS與ION /IOFF 分別為50 mV/decade與8×106
由圖18 所示之SS對ID 曲線圖可知,本發明該第一實施例之3 nm厚的閘極介電層(HZO)312在0.1 V之汲極電壓(VD )的操作條件下,其SS在汲極電流(ID )小於2×10-9 A的條件下皆低於60mV/decade,此結果顯示出該第一實施例之3 nm厚的閘極介電層(HZO)312本身所賦予的極化反轉特性能抑制SS,可實現優異的閘極控制。
參圖19與圖20可知,該第一實施例在0.1 V之汲極電壓(VD )的操作條件下,因較薄的等效氧化物厚度(EOT),以致於其汲極電流(ID )是隨著閘極介電層(HZO)312之厚度的下降而增加,且3 nm厚的閘極介電層(HZO)312之開電流(on current)是相對5 nm厚之閘極介電層(HZO)312的開電流增加8%,而且2 nm厚之閘極介電層(HZO)312的開電流則是相對3 nm厚之閘極介電層(HZO)312的開電流增加21%。
參閱圖21、圖22與圖23所分別顯示之SS對LG /WCh 曲線圖可知,5 nm厚之閘極介電層(HZO)312因其高極化反轉效應而具有最低的SS,且在LG /WCh 等於1時便具有60 mV/decade以下的SS。雖然3 nm與2 nm厚之閘極介電層(HZO)312的SS是相對高於5 nm厚之閘極介電層(HZO)312;然而,由圖12之GI-XRD顯示可知其已呈正交晶相;因此,圖22與圖23所顯示之閘極介電層(HZO)312之SS曲線相對低於氧化鉿(HfO2 )之閘極介電層之SS曲線可證實其具備有極化反轉效應。此外,SS的下降是伴隨著LG /WCh 的增加。
參圖24、圖25與圖26所示之ID 對VG 曲線圖可知,本發明該第一實施例在汲極電壓(VD )分別為0.05 V、0.1 V、0.5V與1.0 V等操作條件下,因為該第一實施例中的負汲極引致能障下降(drain-induced barrier-lowering;以下稱DIBL),其結果是降低至幾乎無DIBL(3至5 mV/V)。隨著其汲極電壓(VD )的增加,通道中的總電荷隨著負電荷的消失而下降。通道中電荷的下降抑制了在相同閘極電壓(VG )下的電容匹配,從而降低了因極化反轉所致的電流增益。
經上述本發明該第一實施例之晶相分析與電性測試結果可知,證實本發明該第一實施例之閘極介電層312為正交晶相之結晶性的氧化鉿鋯(HZO);此外,LG /WCh 的增加有利於降低SS至60mV/decade以下,且在0.1 V以下之汲極電壓(VD )的操作條件下所對應的ION /IOFF 是大於106 ,而因極化反轉所致的負DIBL也降低至幾乎無DIBL(3至5 mV/V)。前述電性分析結果說明了本發明該第一實施例非常適合應用於超低功耗之積體電路,亦適用於高速邏輯運算的積體電路。
參閱圖27、圖28與圖29,本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置的一第二實施例,大致上是相同於該第一實施例,其不同處是在於該實體通道31的結構,與該通道本體311、汲極32與源極33的材質有別於該第一實施例。具體來說,本發明該第二實施例之該汲極32、該源極33與該通道本體311實質上是由鍺所製成,該通道本體311是架橋式地懸空於該設置面21以致於該實體通道31是設置於該設置面21之上,且該閘極介電層312還進一步包覆該通道本體311,該閘極34還進一步包覆該閘極介電層312,以令本發明該第二實施例之鐵電電晶體裝置是一環繞式閘極鐵電電晶體(gate all around FE-FET,簡稱GAA FE-FET)裝置。
較佳地,該實體通道31還具有一緩衝層313;更佳地,該實體通道31更具有一阻擋層314。該緩衝層313夾置於該通道本體311與該閘極介電層312間且是由氧化鍺(GeO2 )所製成;該阻擋層314形成於該閘極介電層312與該閘極34間,且是由氧化鋁(Al2 O3 )所製成。
較佳地,該緩衝層313的厚度小於等於1 nm,該阻擋層314的厚度小於等於3 nm。在本發明該第二實施例中,該立體式電晶體3之開電流對關電流的比值(ION /IOFF )是大於105
詳細地來說,本發明該第二實施例之製法首先是如圖30所示,於一有機金屬化學氣相沉積(MOCVD)設備的反應腔(圖未示)內載入該SOI晶圓200,並於其內部引入鍺烷(GeH4 )作為前驅物以利用MOCVD於該SOI晶圓200上磊製一80 nm厚的單晶鍺層23。
於磊製出該單晶鍺層23後,如圖31所示,對該單晶鍺層23施予一選擇性(selectively)RIE處理,以自該SOI晶圓200蝕刻出一架橋式圖案231,並定義出該半導體基底2之設置面21;其中,該架橋式圖案231定義出該第二實施例之通道本體311與連接該通道本體31之第一端3111與第二端3112的待摻雜本體30。實施完該選擇性RIE處理後,對該SOI晶圓200及其上的架橋式圖案231實施RCA清洗。
詳細地來說,在本發明該第二實施例中,該選擇性RIE處理是依序執行以下程序:先於位在一RIE反應室(圖未示)內的該SOI晶圓200上覆蓋一遮罩(圖未示)以令該單晶鍺層23之預計被蝕刻的一區域裸露於該遮罩外,並於該RIE反應室內通入氯氣(Cl2 )與溴化氫(HBr)氣體分子以於該RIE反應室內產生一含有Cl、H與Br等離子的第一電漿(plasma),並調整電漿參數達到等向性蝕刻(isotropic etching)以自裸露於該遮罩外之該單晶鍺層23的一表面開始等向性地蝕刻該單晶鍺層23一第一預定時間,其中,側向蝕刻將自行停在{111}晶向中之兩晶面;接下來,於該RIE反應室內通入Cl2 以於該RIE反應室內形成一含有Cl離子的第二電漿,並調整電漿參數為非等向性蝕刻(anisotropic etching)以繼續朝下蝕刻該單晶鍺層23一第二預定時間;最後,於該RIE反應室內通入氯氣與溴化氫分子以於該RIE反應室內產生該第二電漿並調整電漿參數達等向性蝕刻,以繼續沿著{111}晶向表面等向性地蝕刻該單晶鍺層23並將該單晶鍺層23下方之鍺掏空,從而形成該架橋式圖案231。
進一步地,如圖32所示,將完成有該架橋式圖案231的該SOI晶圓200送至原子層沉積裝置(ALD)的反應腔(圖未示)內,以依序沉積出如圖29所示之包覆該通道本體311的該緩衝層313、該閘極介電層312與該阻擋層314。詳細地來說,本發明該第二實施例於實施ALD前,是先對該架橋式圖案231上的一介面層(圖未示)施予一數位臭氧處理(digital ozone treatment),以藉此減少該架橋式圖案231之表面粗糙度與等效氧化層厚(EOT)之後,才實施ALD。在本發明該第二實施例中,沉積出該緩衝層313的前驅物為臭氧(ozone,化學式為O3),沉積出該閘極介電層312的條件是實質相同於該第一實施例之2 nm厚的閘極介電層312,沉積出該阻擋層314的前驅物為三甲基鋁[trimethylaluminum,化學式為Al(CH3 )3 ]。
接著,如圖33所示,利用物理氣相沉積法(PVD)於該通道本體311上的阻擋層314(圖未示)上沉積一厚度為80 nm的氮化鈦(TiN)層342,並對該氮化鈦層342施予圖案化處理以製得本發明該第二實施例之閘極34。
於製得該第二實施例之閘極34後,以10 keV之離子入射能對該等待摻雜本體30施予B+ 之劑量為1×1015 /cm2 的離子佈植,以令各待摻雜本體30經離子佈植成如圖27所示之該第二實施例的該汲極32與該源極33。
最後,對實施完離子佈植的元件施予1650 W之100秒的微波退火(MWA)處理,從而製得本發明該第二實施例之架橋式鐵電電晶體裝置。
此處需說明的是,本發明該第二實施例於實施完選擇性RIE處理後所完成之架橋式圖案231的通道本體311之閘極長度LG 與通道寬度WCh 各為60 nm與20 nm。此外,基於該單晶鍺層23不耐高溫(<400˚C),因而本發明該第二實施例是採用微波退火處理。
由圖34所顯示之TEM影像並配合參閱圖27可知,本發明該第二實施例之通道本體(Ge)311是架橋式地懸空於半導體基底(Si)2之設置面21上。
進一步參閱圖35所顯示之圖34之高倍率TEM影像可知,本發明該第二實施例之通道本體(Ge)311的通道寬度WCh 為20 nm。
此外,由圖36所顯示之HRTEM影像可知,本發明該第二實施例之緩衝層(IL)313、閘極介電層(HZO)312與阻擋層(Al2 O3 )314的厚度分別是0.4 nm、2 nm與0.8 nm。
由圖37所示之ID 對VG 曲線圖可知,本發明該第二實施例在-0.1 V之汲極電壓(VD )的操作條件下,其ION /IOFF 可達1.4×106 ,且最小SS為55 mV/decade。
由圖38所顯示之SS對ID 曲線圖可知,本發明該第二實施例在-0.1 V之汲極電壓(VD )的操作條件下的SS趨近60 mV/decade。
依本發明該第二實施例之電性測試結果可知,證實本發明該第二實施例之架橋式鐵電電晶體裝置之SS基於圍繞其通道本體311之閘極介電層(HZO)312所具備之極化反轉效應而得以趨近60 mV/decade,也因為其閘極介電層(HZO)312進一步圍繞有該阻擋層(Al2 O3 )314而降低了漏電流問題,且在-0.1 V之汲極電壓(VD )的操作條件下,其ION /IOFF 可達1.4×106 。因此,說明了本發明該第二實施例可實現優異的閘極控制,並適用於低功耗與高速邏輯運算等積體電路。
再參閱圖27、圖28與圖29,本發明具有超薄結晶性氧化鉿鋯之閘極介電層的電晶電晶體裝置的一第三實施例,大致上是相同於該第二實施例,其不同處是在於,該汲極32、該源極33與該通道本體311實質上是由矽鍺化合物所製成。
參閱圖39、圖40與圖41,本發明具有超薄結晶性氧化鉿鋯之閘極介電層的電晶電晶體裝置的一第四實施例,大致上是相同於該第一實施例,其不同處是在於,該汲極32、該源極33與該通道本體311實質上是由矽鍺化合物所製成。
詳細地來說,本發明該第四實施例之製法是如圖42所示,首先,於該MOCVD設備的反應腔(圖未示)內載入該SOI晶圓200,並於其內部引入矽烷(SiH4 )與GeH4 作為前驅物以利用MOCVD於該SOI晶圓200的單晶矽層22上磊製出一厚度為40 nm的單晶矽鍺化合物層24;其中,SiH4 與GeH4 之流量分別為130sccm與22sccm,以致於該單晶矽鍺化合物層24之結構式經XRD分析所取得的晶格常數(lattice constant)換算為Si0.8 Ge0.2
接著,參閱圖43,對磊製有該單晶矽鍺化合物24的SOI晶圓200施予RIE處理,以自該單晶矽層22與該單晶矽鍺化合物24蝕刻出一鰭式圖案241,並定義出該半導體基底2之設置面21;其中,該鰭式圖案241定義出該第四實施例之通道本體311與連接該通道本體311之第一端3111與第二端3112的待摻雜本體30。實施完該RIE處理後,對該SOI晶圓200及其上的鰭式圖案241實施RCA清洗。在本發明該第四實施例中,於實施完該RIE處理後所完成之鰭式圖案241的通道本體311之通道寬度WCh 的規格皆為5 nm,且閘極長度LG 有60 nm與100 nm等規格。
具體地來說,該第四實施例之RIE處理是先於位在該RIE反應室(圖未示)內之磊製有該單晶矽鍺化合物層24的SOI晶圓200上覆蓋該遮罩(圖未示),以令該單晶矽鍺化合物層24之預計被蝕刻的一區域裸露於該遮罩外,並於該RIE反應室內通入溴化氫(HBr)與氦(He)氣體分子以於該RIE反應室內產生一含有溴離子、氦離子的電漿,從而自裸露於該遮罩外之該單晶矽鍺化合物層24的一表面開始非等向性地蝕刻其一預定時間;其中,該含有溴離子、氦離子的電漿是選擇性地蝕刻該單晶矽鍺化合物層24,以致於當移除該單晶矽鍺化合物層24過程中裸露出其下方的該單晶矽層22時,該含有溴離子、氦離子的電漿是同時繼續朝下非等向性地蝕刻該單晶矽鍺化合物層24與該單晶矽層22,且其對該單晶矽層22的蝕刻速率相對低於該單晶矽者化合物層24,從而形成如圖43所示的該鰭式圖案241。
進一步地,如圖44所示,將完成有該鰭式圖案241的該SOI晶圓200送至該ALD的反應腔(圖未示)內,使用相同於該第一實施例之製程參數,從而透過ALD沉積出本發明該第四實施例之覆蓋該通道本體311之厚度為5 nm的閘極介電層312。
接著,如圖45所示,利用PVD於該閘極介電層312上沉積一厚度為80 nm的TiN層343,並對該氮化鈦層343施予圖案化處理以製得本發明該第四實施例之閘極34。
於製得該閘極34後,以10 keV之離子入射能對該等待摻雜本體30施予P31 之劑量為1×1015 /cm2 的離子佈植,以令各待摻雜本體30經離子佈植成如圖39所示之該第四實施例的該汲極32與該源極33。
最後,對實施完離子佈植的元件施予550˚C之30秒的RTA處理,從而製得本發明該第四實施例之鰭式鐵電電晶體裝置。
由圖46所顯示之HRTEM影像,證實本發明該第四實施例之通道本體(Si0.8 Ge0.2 )311的通道寬度WCh 為5 nm,且該通道本體(Si0.8 Ge0.2 )311上覆蓋有厚度約5 nm之該閘極介電層(HZO)312。
參閱圖47所示之ID 對VG 曲線圖可知,本發明該第四實施例之5 nm的閘極介電層(HZO)312在其WCh 與LG 各為5 nm與60 nm,以及0.05 V之汲極電壓(VD )的操作條件下,其ION /IOFF 為2×107
參圖48所示之ID 對VG 曲線圖可知,本發明該第四實施例在其WCh 與LG 各為5 nm與100 nm且VD 為0.05 V的操作條件下所對應之順向與逆向掃描的SS各為59 mV/decade與54 mV/decade,且ION /IOFF 各為2.4×107 與6.9×106
綜上所述,本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,其利用該閘極介電層312是具結晶性的氧化鉿鋯所貢獻的極化反轉特性,而令其鐵電電晶體裝置具備有低SS(<60 mV/decade)與高ION /IOFF 等優異的電性,可實現優異的閘極控制,並適用於低功耗與高速邏輯運算等積體電路,故確實能達成本發明的目的。
惟以上所述者,僅為本發明的實施例而已,當不能以此限定本發明實施的範圍,凡是依本發明申請專利範圍及專利說明書內容所作的簡單的等效變化與修飾,皆仍屬本發明專利涵蓋的範圍內。
2:半導體基底 200:SOI晶圓 201:矽晶圓 202:絕緣層 21:設置面 22:單晶矽層 221:鰭式圖案 23:單晶鍺層 231:橋式圖案 24:單晶矽鍺化合物層 241:鰭式圖案 3:立體式電晶體 30:待摻雜本體 31:實體通道 311:通道本體 3111:第一端 3112:第二端 312:閘極介電層 313:緩衝層 314:阻擋層 32:汲極 33:源極 34:閘極 341:氮化鉭層 342:氮化鈦層 343:氮化鈦層
本發明的其他的特徵及功效,將於參照圖式的實施方式中清楚地呈現,其中: 圖1是一立體圖,說明中華民國第201830694早期公開號發明專利案所公開的一種負電容場效電晶體裝置之未完成結構; 圖2是沿圖1之直線II-II所取得的一示意圖; 圖3是一剖視圖,說明圖1所顯示之負電容場效電晶體裝置的一磊晶源極/汲極結構與一鰭片結構間的配置關係; 圖4是一立體圖,說明圖1所顯示之負電容場效電晶體裝置之完成結構; 圖5是一立體圖,說明本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置的一第一實施例; 圖6是本發明該第一實施例之一俯視示意圖; 圖7是自圖6之直線VII-VII所取得的一局部剖視示意圖,說明本發明該第一實施例之細部結構; 圖8是一立體圖,說明製作本發明該第一實施例時對一絕緣層上覆矽(silicon on insulator;以下簡稱SOI)晶圓施予反應式離子蝕刻(RIE)處理以形成一鰭式圖案; 圖9是一立體圖,說明製作本發明該第一實施例時經實施ALD以沉積出一閘極介電層; 圖10是一立體圖,說明製作本發明該第一實施例時經實施物理氣相沉積法(以下稱PVD)以沉積出一氮化鉭(TaN)層並圖案化該氮化鉭層以製得一閘極; 圖11是一穿透式電子顯微鏡(TEM)影像,說明本發明該第一實施例之一通道本體的尺寸; 圖12是一掠角X光繞射(grazing incident X-ray diffraction;以下稱GI-XRD)圖,說明本發明該第一實施例之閘極介電層的結晶性; 圖13是一高解析度穿透式電子顯微鏡(high resolution TEM;以下簡稱HRTEM)影像,說明本發明該第一實施例之閘極介電層的厚度為2 nm; 圖14是一HRTEM影像,說明本發明該第一實施例之閘極介電層的厚度為3 nm; 圖15是一HRTEM影像,說明本發明該第一實施例之閘極介電層的厚度為5 nm; 圖16是圖15的一奈米電子束繞射(nanobeam electron diffraction;以下簡稱NBD)圖,說明本發明該第一實施例之厚度為5 nm的閘極介電層是結晶態的氧化鉿鋯(HZO); 圖17是一ID 對VG 曲線圖,說明本發明該第一實施例之3 nm厚的閘極介電層的電性; 圖18是圖17之一SS對ID 曲線圖; 圖19是一ID 對VG 曲線圖,說明本發明該第一實施例之2 nm厚、3 nm厚與5 nm厚的閘極介電層的電性; 圖20是圖19之一放大線性之ID 對VG 曲線圖; 圖21是一SS對LG /WCh 曲線圖,說明本發明該第一實施例之5 nm厚的閘極介電層覆蓋於不同尺寸規格的通道本體所對應之SS的變化; 圖22是一SS對LG /WCh 曲線圖,說明本發明該第一實施例之3 nm厚的閘極介電層覆蓋於不同尺寸規格的通道本體所對應之SS的變化; 圖23是一SS對LG /WCh 曲線圖,說明本發明該第一實施例之2 nm厚的閘極介電層覆蓋於不同尺寸規格的通道本體所對應之SS的變化; 圖24是一ID 對VG 曲線圖,說明本發明該第一實施例之2 nm厚的閘極介電層在VD 分別為0.05 V、0.1 V、0.5V與1.0 V之操作條件下的電性; 圖25是一ID 對VG 曲線圖,說明本發明該第一實施例之3 nm厚的閘極介電層在VD 分別為0.05 V、0.1 V、0.5V與1.0 V之操作條件下的電性; 圖26是一ID 對VG 曲線圖,說明本發明該第一實施例之5 nm厚的閘極介電層在VD 分別為0.05 V、0.1 V、0.5V與1.0 V之操作條件下的電性; 圖27是一立體圖,說明本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置的一第二實施例; 圖28是本發明該第二實施例之一俯視示意圖; 圖29是沿圖28之直線XXIX-XXIX所取得的一剖視示意圖,說明本發明該第二實施例之細部結構; 圖30是一立體圖,說明製作本發明該第二實施例時利用有機金屬化學氣相沉積法(以下稱MOCVD)於SOI晶圓上磊製一單晶鍺層; 圖31是一立體圖,說明製作本發明該第二實施例時對該SOI晶圓上的該單晶鍺層施予選擇性RIE處理以形成一架橋式圖案; 圖32是一立體圖,說明製作本發明該第二實施例時經實施ALD以依序沉積出一緩衝層、一閘極介電層與一阻擋層; 圖33是一立體圖,說明製作本發明該第二實施例時經實施PVD以沉積出一氮化鈦(TiN)層並圖案化該氮化鈦層以製得一閘極; 圖34是一TEM影像,說明本發明該第二實施例之通道本體的顯微影像;; 圖35是圖34之局部大TEM影像,說明本發明該第二實施例之通道本體的尺寸; 圖36是一HRTEM影像,說明本發明該第二實施例之一緩衝層(IL)、閘極介電層(HZO)與一阻擋層(Al2 O3 )的厚度各為0.4 nm、2 nm與0.8 nm; 圖37是一ID 對VG 曲線圖,說明本發明該第二實施例在VD 分別為-0.1 V與-1.0 V之操作條件下的電性; 圖38是一SS對ID 曲線圖; 圖39是一立體圖,說明本發明具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置的一第四實施例; 圖40是本發明該第四實施例之一俯視示意圖; 圖41是沿圖40之直線XXXXI-XXXXI所取得的一剖視示意圖,說明本發明該第四實施例之細部結構; 圖42是一立體圖,說明製作本發明該第四實施例時利用MOCVD於SOI晶圓上磊製一單晶矽鍺化合物層; 圖43是一立體圖,說明製作本發明該第四實施例時對該SOI晶圓上的該單晶矽鍺化合物層施予選擇性RIE處理以形成一鰭式圖案; 圖44是一立體圖,說明製作本發明該第四實施例時經實施ALD以沉積出一閘極介電層; 圖45是一立體圖,說明製作本發明該第四實施例時經實施PVD以沉積出一氮化鈦(TiN)層並圖案化該氮化鈦層以製得一閘極; 圖46是一HRTEM影像,說明本發明該第四實施例之閘極介電層(HZO)的厚度為5 nm; 圖47是一ID 對VG 曲線圖,說明本發明該第四實施例在其通道寬度(WCh )與閘極長度(LG )各為5 nm與60 nm且VD 為0.05 V之操作條件下的電性;及 圖48是一ID 對VG 曲線圖,說明本發明該第四實施例在其WCh 與LG 各為5 nm與100 nm且VD 為0.05 V之操作條件下的電性。
2:半導體基底
21:設置面
3:立體式電晶體
31:實體通道
311:通道本體
3111:第一端
3112:第二端
312:閘極介電層
32:汲極
33:源極
34:閘極

Claims (5)

  1. 一種具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,包含:一半導體基底,包括一設置平面;及一立體式電晶體,包括:一實體通道,具有一通道本體及一覆蓋該通道本體的閘極介電層,該通道本體自該設置面朝上凸伸或設置於該設置面之上,並配置有相反設置的一第一端與一第二端,該閘極介電層是由一厚度介於2nm至5nm間的結晶性氧化鉿鋯所製成,一汲極,自該設置面朝上凸伸以連接該通道本體的第一端,一源極,自該設置面朝上凸伸以連接該通道本體的第二端,及一閘極,覆蓋該實體通道並電性隔絕於該汲極與源極;其中,該汲極、該源極與該通道本體實質上是由鍺所製成,該通道本體是架橋式地懸空於該設置面以致於該實體通道是設置於該設置面之上,該閘極介電層還進一步包覆該通道本體,且該閘極還進一步包覆該閘極介電層;及其中,該立體式電晶體之開電流對關電流的比值是至少大於5×104
  2. 如請求項1所述的具超薄結晶性氧化鉿鋯之閘極介電層的 鐵電電晶體裝置,其中,該立體式電晶體之開電流對關電流的比值是大於105
  3. 如請求項1所述的具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,其中,該實體通道還具有一緩衝層,該緩衝層夾置於該通道本體與該閘極介電層間且是由氧化鍺所製成。
  4. 如請求項3所述的具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,其中,該緩衝層的厚度小於等於1nm。
  5. 如請求項1所述的具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置,其中,自連接該汲極之該通道本體的第一端至連接該源極之該通道本體的第二端所定義而成的一閘極長度是介於10nm至500nm間,橫向於該閘極長度的一通道寬度是介於3nm至50nm間。
TW109113313A 2019-07-23 2020-04-21 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置 TWI740465B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/917,172 US11145740B2 (en) 2019-07-23 2020-06-30 Ferroelectric field effect transistor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW108125943 2019-07-23
TW108125943 2019-07-23

Publications (2)

Publication Number Publication Date
TW202111945A TW202111945A (zh) 2021-03-16
TWI740465B true TWI740465B (zh) 2021-09-21

Family

ID=76035536

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109113313A TWI740465B (zh) 2019-07-23 2020-04-21 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Country Status (1)

Country Link
TW (1) TWI740465B (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201618303A (zh) * 2014-09-12 2016-05-16 台灣積體電路製造股份有限公司 半導體裝置、半導體裝置之布局、及製造半導體裝置之方法
TW201620041A (zh) * 2014-11-18 2016-06-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201817004A (zh) * 2016-08-03 2018-05-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201839822A (zh) * 2017-04-28 2018-11-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW201919151A (zh) * 2017-11-14 2019-05-16 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法
TW201923849A (zh) * 2017-11-15 2019-06-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW201926708A (zh) * 2017-11-27 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置
TW201926720A (zh) * 2017-11-30 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置
TW201926439A (zh) * 2017-11-21 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201618303A (zh) * 2014-09-12 2016-05-16 台灣積體電路製造股份有限公司 半導體裝置、半導體裝置之布局、及製造半導體裝置之方法
TW201620041A (zh) * 2014-11-18 2016-06-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201817004A (zh) * 2016-08-03 2018-05-01 台灣積體電路製造股份有限公司 半導體裝置與其形成方法
TW201839822A (zh) * 2017-04-28 2018-11-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW201919151A (zh) * 2017-11-14 2019-05-16 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法
TW201923849A (zh) * 2017-11-15 2019-06-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW201926439A (zh) * 2017-11-21 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法
TW201926708A (zh) * 2017-11-27 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置
TW201926720A (zh) * 2017-11-30 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
C.-J. Su, Y.-T Tang, Y.-C. Tsou, P.-J. Sung, F.-J. Hou, C.-J. Wang, S.-T. Chung, C.-Y. Hsieh, Y.-S. Yeh, F.-K. Hsueh, K.-H. Kao, S.-S. Chuang, C.-T. Wu, T.-Y. You, Y.-L. Jian, T.-H. Chou, Y.-L. Shen, B.-Y. Chen, G.-L. Luo, T.-C. Hong, K.-P. Huang, M.-C. Chen, Y.-J. Lee, T.-S. Chao, T.-Y. Tseng, W.-F. Wu, G.-W. Huang, J.-M. Shieh, W.-K. Yeh, and Y.-H. Wang, "Nano-scaled Ge FinFETs with low temperat *

Also Published As

Publication number Publication date
TW202111945A (zh) 2021-03-16

Similar Documents

Publication Publication Date Title
US11848369B2 (en) Horizontal gate-all-around device nanowire air gap spacer formation
US9947775B2 (en) Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
TWI761876B (zh) 半導體裝置的製造方法及電漿處理裝置
US10032915B2 (en) Non-planar transistors and methods of fabrication thereof
US7154118B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20080083948A1 (en) SiGe selective growth without a hard mask
JP4647682B2 (ja) 半導体装置及びその製造方法
US10797148B2 (en) III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
TWI496287B (zh) 雙介電體三閘極場效電晶體
TW201921455A (zh) 製造用於水平環繞式閘極元件的接面及間隔物之方法
US11024711B2 (en) Nanosheet FET bottom isolation
US10998441B2 (en) Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate
TW202247466A (zh) 半導體裝置
TWI740465B (zh) 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置
US11145740B2 (en) Ferroelectric field effect transistor device
WO2021080908A1 (en) Horizontal gaa nano-wire and nano-slab transistors
TWI584482B (zh) 互補式金氧半場效電晶體結構、金氧半場效電晶體結構及其製作方法
US11817489B2 (en) Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
TW201330264A (zh) 鰭狀場效電晶體結構及其製作方法
KR20230020364A (ko) 최하부 유전체를 이용한 나노시트 소스 드레인 형성을 위한 템플레이트
TW202310066A (zh) 環繞式閘極電晶體中的源極汲極形成
TW202145356A (zh) 半導體裝置的形成方法