TW201926439A - 半導體裝置結構的形成方法 - Google Patents

半導體裝置結構的形成方法 Download PDF

Info

Publication number
TW201926439A
TW201926439A TW107141129A TW107141129A TW201926439A TW 201926439 A TW201926439 A TW 201926439A TW 107141129 A TW107141129 A TW 107141129A TW 107141129 A TW107141129 A TW 107141129A TW 201926439 A TW201926439 A TW 201926439A
Authority
TW
Taiwan
Prior art keywords
silicon
layer
precursor gas
containing precursor
atomic
Prior art date
Application number
TW107141129A
Other languages
English (en)
Inventor
涂官瑤
彭羽筠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926439A publication Critical patent/TW201926439A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供半導體裝置結構的結構及形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層。上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體的碳的原子濃度不同。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。

Description

半導體裝置結構的形成方法
本發明實施例是關於半導體裝置的製程,特別是關於半導體裝置結構的形成方法。
半導體積體電路(integrated circuit;IC)工業已歷經了快速成長。在積體電路的材料與設計的技術發展下,已產出數個世代的積體電路,每個世代均比其前一個世代具有較小且更複雜的電路。
在積體電路革命的過程中,通常隨著功能密度(例如:每單位晶片面積的互連的裝置數量)的增加而縮減幾何尺寸(例如:使用一製程所能形成的最小構件(或是線))。這樣的尺寸縮減的過程通常會藉由增加製造效率與降低關連的成本而獲得效益。
然而,這樣的發展亦會增加所加工及製造的積體電路的複雜度。由於特徵尺寸持續地縮減,製程的施行則變得愈來愈困難。因此,以愈來愈小的尺寸來形成可靠的半導體裝置,會是個挑戰。
一實施例是關於一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層。上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體的碳的原子濃度不同。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。
另一實施例是關於一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:重複地將一第一含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層的一第一部分;以及重複地將一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以在上述密封層的上述第一部分的上方形成上述密封層的一第二部分。上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體的碳的原子濃度不同。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。
又另一實施例是關於一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層。上述第二含矽前驅物氣體包括一矽─碳鍵,而上述第一含矽前驅物氣體不包括任何矽─碳鍵。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同構件。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包括上述第一構件與上述第二構件是直接接觸的實施例,亦可能包括了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種構件。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或構件與另一個(些)元件或構件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
在此揭露一些實施例。可以在這些實施例中敘述的階段之前、過程中及/或之後提供附加的操作,且所敘述的一些操作可被取代、裁減或重新配置,作為不同的實施例。可在半導體裝置結構加上額外的構件。以下敘述的構件中的一些可被取代、裁減或重新配置,作為不同的實施例。雖然以一特定順序來施行複數個操作的方式來討論一些實施例,但是可以以另一個邏輯順序來施行這些操作。
本發明實施例可關於一種具有鰭狀物的鰭式場效電晶體(FinFET)結構。可藉由任何適當的方法來將上述鰭狀物圖形化。例如,可使用包括雙重圖形化或多重圖形化製程的一或多道光學微影製程,將上述鰭狀物圖形化。一般而言,雙重圖形化或多重圖形化製程組合了光學微影及自對準製程,得以使所形成的圖形所具有的例如截距(pitch)小於另外使用一單一、直接的光學微影製程所能獲得的截距。例如,在一些實施例中,將一犧牲層形成在一基底的上方並使用一微影製程將上述犧牲層圖形化。使用一自對準製程而倚靠著圖形化的犧牲層來形成間隔物。然後,移除上述犧牲層,然後可以將留下來的間隔物用來圖形化上述鰭狀物。然而,可以使用一或多種其他可應用的製程來形成上述鰭狀物。
第1圖是根據一些實施例之用以形成一半導體裝置結構的製程的一中間階段的透視圖。在一些實施例中,第1圖顯示用以形成一鰭式場效電晶體(fin field-effect transistor;FinFET)的一中間階段。
第2A至2I圖是根據一些實施例之用以形成一半導體裝置結構的製程的各種階段的剖面圖。在一些實施例中,示於第2A圖中的結構是對應於沿著第1圖的線I-I取得的剖面圖。如第2A圖所示,接收或提供一半導體基底100。
在一些實施例中,半導體基底100是一塊體(bulk)的半導體基底,例如為一半導體晶圓。例如,半導體基底100包括矽或例如鍺等的其他元素半導體材料。半導體基底100可以是未摻雜或已摻雜(例如:p型、n型或上述之組合)。在一些其他的實施例中,半導體基底100包括一化合物半導體。上述化合物半導體可包括碳化矽、砷化鎵、砷化銦、磷化銦、一或多種其他適當的化合物半導體或上述之組合。在一些實施例中,半導體基底100是一絕緣物上覆半導體(semiconductor-on-insulator;SOI)基底的一主動層。可使用一氧離子佈植隔離(separation by implantation of oxygen;SIMOX)製程、一晶圓接合製程、其他可應用的方法或上述之組合來形成上述絕緣物上覆半導體基底。在一些其他的實施例中,半導體基底100包括一多層結構。例如,半導體基底100包括形成在一塊體的矽層上的一矽─鍺層。
如第1圖所示,根據一些實施例,在半導體基底100中形成多個凹部(或多個溝槽)。其結果,在上述凹部之間形成或定義出多個鰭狀物結構102。在一些實施例中,是使用一或多道光學微影與蝕刻製程來形成上述凹部。在第2A圖中,僅顯示一個鰭狀物結構102。在一些實施例中,鰭狀物結構102是與半導體基底100直接接觸,因為鰭狀物結構102原本就是半導體基底100的連續的部分。
然而,可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,鰭狀物結構102並未直接與半導體基底100接觸。可以在半導體基底100與鰭狀物結構102之間形成一或多個其他的材料層。例如,在形成鰭狀物結構102之前,可以在半導體基底100的上方形成一介電層。
如第1圖所示,根據一些實施例,在上述凹部中形成隔離構件103而圍繞鰭狀物結構102的下部。隔離構件103是用來定義並電性隔離形成於半導體基底100中及/或上方的各種裝置元件。在一些實施例中,隔離構件103包括淺溝槽隔離構件(shallow trench isolation;STI)、矽的局部氧化構件(local oxidation of silicon;LOCOS)、其他適當的隔離構件或上述之組合。
在一些實施例中,會在半導體基底100的上方沉積一介電材料層。上述介電材料層覆蓋鰭狀物結構102並填充鰭狀物結構102之間的凹部。在一些實施例中,是使用一化學氣相沉積(chemical vapor deposition;CVD)製程、一原子層沉積 (atomic layer deposition;ALD)製程、一物理氣相沉積(physical vapor deposition;PVD)製程、一旋轉塗布(spin-on)製程、一或多道其他可應用的製程或上述之組合,來沉積上述介電材料層。在一些實施例中,使用一平坦化製程以將上述介電材料層薄化,直到暴露出鰭狀物結構102或定義鰭狀物結構的硬罩幕元件。上述平坦化製程可包括一化學機械拋光(chemical mechanical polishing;CMP)製程、一研磨(grinding)製程、一乾式拋光(dry polishing)製程、一蝕刻製程、一或多道其他可應用的製程或上述之組合。然後,將上述介電材料層回蝕,而使鰭狀物結構102在上述蝕刻製程之後突出於留下來的上述介電材料層的頂表面。其結果,如第1圖所示,上述介電材料層的留下來的部分形成隔離構件103。
然後,如根據一些實施例的第1與2A圖所示,在半導體基底100的上方形成一閘極堆疊107,以局部覆蓋鰭狀物結構102。如第1與2A圖所示,閘極堆疊107包括一閘極電極106與一閘極介電層104。在一些實施例中,閘極堆疊107是一虛置(dummy)閘極堆疊而可以以例如一金屬閘極堆疊等的另一個閘極堆疊取代之。
在一些實施例中,在隔離構件103與鰭狀物結構102的上方,沉積一閘極介電材料層與一閘極電極層。在一些實施例中,上述閘極介電材料層是以氧化矽、氮化矽、氧氮化矽、具有高介電常數的介電材料、一或多種其他適當的介電材料或上述之組合形成,或包括氧化矽、氮化矽、氧氮化矽、具有高介電常數的介電材料、一或多種其他適當的介電材料或上述之組合。具有高介電常數的介電材料的例子包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿—氧化鋁合金(hafnium dioxide-alumina alloy)、氧化鉿矽(hafnium silicon oxide)、氮氧化鉿矽(hafnium silicon oxynitride)、氧化鉿鉭(hafnium tantalum oxide)、氧化鉿鈦(hafnium titanium oxide)、氧化鉿鋯(hafnium zirconium oxide) 、一或多種其他適當的高介電常數材料或上述之組合。在一些實施例中,上述介電材料層是一虛置的(dummy)閘極介電層,後續將會被移除。上述虛置的閘極介電層例如是氧化矽層。
在一些實施例中,是使用一化學氣相沉積(chemical vapor deposition;CVD)製程、一原子層沉積(atomic layer deposition;ALD) 製程、一熱氧化製程、一物理氣相沉積(physical vapor deposition;PVD)製程、一或多道其他可應用的製程或上述之組合,來沉積上述閘極介電材料層。
在一些實施例中,上述閘極電極層是一虛置的閘極電極層,且是以例如多晶矽等的一介電材料形成,或包括例如多晶矽等的一介電材料。例如,使用一化學氣相沉積製程或其他可應用的製程,來沉積上述虛置的閘極電極層。
然後,根據一些實施例,在上述閘極電極層的上方,形成一圖形化的硬罩幕元件(未繪示)。上述圖形化的硬罩幕元件是用來將上述閘極電極層及上述閘極介電材料層圖形化而成為一或多個閘極堆疊。然後,如根據一些實施例的第1與2A圖所示,使用上述圖形化的硬罩幕元件作為一蝕刻罩幕,對上述閘極電極層及上述閘極介電材料層進行蝕刻,而形成包括閘極堆疊107的複數個閘極堆疊。
如第2B圖所示,根據一些實施例,沉積一密封層108。密封層108在鰭狀物結構102上以及閘極堆疊107的頂表面與側壁上延伸。可以將密封層108用來幫助用以形成淡摻雜源極與汲極(lightly-doped source and drain;LDS/D)區的一後續離子佈植製程。
在一些實施例中,密封層108是以一介電材料形成。上述介電材料可包括氧碳氮化矽(silicon oxycarbonitride)、碳化矽、氧氮化矽、氮化矽、氧化矽、一或多種其他適當的材料或上述之組合。可使用一化學氣相沉積(chemical vapor deposition;CVD)製程來沉積密封層108。在一些實施例中,是使用一原子層沉積(atomic layer deposition;ALD) 製程來沉積密封層108。在後續的製程中,密封層108可能會遭受一含氧的蝕刻製程及/或一含水的退火製程。在一些實施例中,將密封層108形成為具有強抗氧化力的端基配位基(terminal ligand),可避免密封層108被氧化及/或被水嵌入(inserted water)。因此,密封層108可以具有低介電常數。隨著半導體裝置的密度的增加以及電路元件的尺寸變得更小,阻容遲滯(resistance capacitance delay;RC delay)時間對於電路效能的支配程度增加。使密封層108維持具有低介電常數,可幫助改善半導體裝置的效能。
在一些實施例中,在施行一原子層沉積製程以形成密封層108的相同的製程室中,依序且交替使用二或多種的含矽前驅物。上述含矽前驅物中的一個可對所形成的密封層108的強抗氧化力的端基配位基有所貢獻。另一個含矽前驅物可使所形成的密封層108具有較低的介電常數。因此,密封層108可具有低介電常數及對後續的含氧蝕刻製程及/或含水退火製程的高抵抗性。
第3圖是根據一些實施例之使用一原子層沉積製程而用以形成一材料層的方法300的流程圖。在一些實施例中,方法300是用來形成密封層108。第7圖顯示根據一些實施例之用以施行一原子層沉積製程的一製程室702。在一些實施例中,將示於第2A圖的結構傳送而進入製程室702,用以藉由方法300來形成密封層108。在一些實施例中,交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引入製程室702,以形成密封層108。
在一些實施例中,方法300包括一操作302,在操作302中,將一第一含矽前驅物氣體引至閘極堆疊107的側壁上。在一些實施例中,亦將上述第一含矽前驅物氣體施加在閘極堆疊107的頂表面上、鰭狀物結構102的暴露的表面上及/或隔離構件103的頂表面上。在一些實施例中,上述第一含矽前驅物氣體包括一矽─鹵素鍵(例如一矽─氯鍵或一矽─溴鍵)、一矽─氮鍵、一矽─氫鍵或上述之組合。例如,上述第一含矽前驅物氣體可以是或可包含氯化矽、溴化矽、碘化矽、矽烷、含矽烷的胺基、一或多種其他適當或類似的化合物或上述之組合。在一些其他的實施例中,上述第一含矽前驅物氣體更包括一矽─碳鍵。
上述第一含矽前驅物氣體可以以一連續、自限制的(self-limiting)方式一次與一材料的表面反應。上述前驅物的分子可以以一自限制的方式與閘極堆疊107的表面反應,因此一旦閘極堆疊107的表面上的反應位置(reactive sites)耗盡,則反應結束。在一些實施例中,提供一充足的反應時間,來確保閘極堆疊107的表面上的所有或幾乎所有的反應位置與上述前驅物分子反應並耗盡。來自上述第一含矽前驅物氣體的含矽物種可能會被吸收到閘極堆疊107的表面上,以形成一原子層。
第9A至9C圖是根據一些實施例之用以形成一半導體裝置結構的製程的各種階段的剖面圖。在一些實施例中,第9A圖是第2A圖所示結構的放大的剖面圖。在一些實施例中,來自上述第一含矽前驅物氣體的含矽物種被吸收至閘極堆疊107的表面上,以形成一原子層902a。在一些實施例中,上述第一含矽前驅物氣體是實質上不含碳。因此,根據一些實施例,原子層902a實質上不含碳。一旦上述反應位置完全或幾乎完全耗盡,原子層902a的成長會自動終結。原子層902a的厚度可以是數個埃(Å;angstrom)。例如,原子層902a的厚度是在約2 Å至約10 Å的範圍。上述第一含矽前驅物氣體的多出來的部分在上述反應位置耗盡後,不會與原子層902a的表面發生化學鍵結。在一些實施例中,然後藉由引入例如氬氣等的一沖洗用氣體(purge gas),將上述第一含矽前驅物氣體及/或反應副產物從製程室702移除。
在一些實施例中,方法300繼續進行操作304,在操作304中,將一或多種第一修飾反應介質引至在操作302形成的原子層上。例如,將上述一或多種第一修飾反應介質引至在第9A圖所示的原子層902a上。在一些實施例中,引入上述一或多種第一修飾反應介質,包括:引入一含氧介質、引入一含氮介質及引入一含碳介質。在多個修飾操作之後,將原子層902a修飾為包括可以與後續引入的前驅物氣體反應的反應位置,而得以隨後在原子層902a上直接形成一原子層。
在一些實施例中,將一含氧介質引入製程室702中,以對上述原子層(例如在第9A圖所示的原子層902a)進行修飾。上述含氧介質可包括氧氣、含氧電漿、臭氧或其類似者。上述含氧介質可以以一自限制的方式與原子層902a的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含氧介質的修飾之後,將原子層902a修飾為包括一矽─氧(Si-O)鍵。例如,原子層902a的表面包括一矽─鹵素鍵,且上述矽─鹵素鍵可以與上述含氧介質反應而變成上述矽─氧鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含氧介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含氧介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,未使用上述含氧介質。
在一些實施例中,然後將一含氮介質引入製程室702中,以對原子層902a進行修飾。上述含氮介質可包括氮氣、含氮電漿或其類似者。上述含氮介質可以以一自限制的方式與被氧修飾後的原子層902a的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含氮介質的修飾之後,將原子層902a修飾為包括一矽─氮(Si-N)鍵。例如,原子層902a的表面包括一矽─鹵素鍵,且上述矽─鹵素鍵可以與上述含氧介質反應而變成上述矽─氧鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含氮介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含氮介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,未使用上述含氮介質。
在一些實施例中,然後將一含碳介質引入製程室702中,以對原子層902a進行修飾。上述含碳介質可包括甲烷、丙烷、乙烷或其類似者。上述含碳介質可以以一自限制的方式與被氮修飾後的原子層902a的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含碳介質的修飾之後,將原子層902a修飾為包括一氮─碳(N-C)鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含碳介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含碳介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。可以改變上述含氧介質、上述含氮介質與上述含碳介質的引入順序。在一些其他的實施例中,未使用上述含碳介質。在一些其他的實施例中,未使用修飾反應介質。
在一些實施例中,方法300繼續進行操作306,在操作306中,將一第二含矽前驅物氣體引至閘極堆疊107的側壁上。在一些實施例中,亦將上述第二含矽前驅物氣體施加在閘極堆疊107的頂表面上、鰭狀物結構102上及/或隔離構件103的頂表面上。在一些實施例中,上述第二含矽前驅物氣體包括一矽─鹵素鍵(例如一矽─氯鍵或一矽─溴鍵)、一矽─氮鍵、一矽─氫鍵或上述之組合。例如,上述第二含矽前驅物氣體可以是或可包含氯化矽、溴化矽、碘化矽、矽烷、含矽烷的胺基、一或多種其他適當或類似的化合物或上述之組合。在一些其他的實施例中,上述第二含矽前驅物氣體更包括一矽─碳鍵。
在一些實施例中,上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體具有的碳的原子濃度不同。在一些實施例中,上述第二含矽前驅物氣體具有的碳的原子濃度大於上述第一含矽前驅物氣體具有的碳的原子濃度。在一些實施例中,上述第一含矽前驅物氣體實質上不含碳,而上述第二含矽前驅物氣體包括一矽─碳鍵。
可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,上述第一含矽前驅物氣體具有的碳的原子濃度大於上述第二含矽前驅物氣體具有的碳的原子濃度。在一些其他的實施例中,上述第二含矽前驅物氣體實質上不含碳,而上述第一含矽前驅物氣體包括一矽─碳鍵。
在操作306中,上述第二含矽前驅物氣體可以以一自限制的方式一次與經修飾的原子層902a的表面反應,因此一旦經修飾的原子層902a的表面上的反應位置耗盡,則反應結束。來自上述第二含矽前驅物氣體的含矽物種可能會被吸收到經修飾的原子層902a的表面上。
在一些實施例中,來自上述第二含矽前驅物氣體的含矽物種被吸收至原子層902a的表面上,以形成一原子層902b。在一些實施例中,上述第二含矽前驅物氣體包括一矽─碳鍵。因此,根據一些實施例,原子層902b含碳。在一些實施例中,原子層902b包括一矽─碳鍵。一旦上述反應位置完全或幾乎完全耗盡,原子層902b的成長會自動終結。原子層902b的厚度可以是數個埃(Å;angstrom)。例如,原子層902b的厚度是在約2 Å至約10 Å的範圍。上述第二含矽前驅物氣體的多出來的部分在上述反應位置耗盡後,不會與原子層902b的表面發生化學鍵結。在一些實施例中,然後將上述第一含矽前驅物氣體及/或反應副產物從製程室702移除。上述第一含矽前驅物氣體及/或反應副產物,可藉由引入例如氬氣等的一沖洗用氣體(purge gas)而移除。
在一些實施例中,方法300繼續進行操作308,在操作308中,將一或多種第二修飾反應介質引至在操作306形成的原子層902b上。在一些實施例中,引入上述一或多種第二修飾反應介質,包括:引入一含氧介質、引入一含氮介質及引入一含碳介質。在多個修飾操作之後,將原子層902b修飾為包括可以與後續引入的前驅物氣體反應的反應位置,而得以隨後在原子層902b上直接形成一原子層。
在一些實施例中,將一含氧介質引入製程室702中,以對操作306形成的原子層進行修飾。上述含氧介質可包括氧氣、含氧電漿、臭氧或其類似者。上述含氧介質可以以一自限制的方式與原子層902b的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含氧介質的修飾之後,將原子層902b修飾為包括一矽─氧鍵。例如,原子層902b的表面包括一矽─鹵素鍵,且從上述第二含矽前驅物氣體提供的上述矽─鹵素鍵可以與上述含氧介質反應而變成上述矽─氧鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含氧介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含氧介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。在一些實施例中,未使用上述含氧介質。
在一些實施例中,然後將一含氮介質引入製程室702中,以對原子層902b進行修飾。上述含氮介質可包括氮氣、含氮電漿或其類似者。上述含氮介質可以以一自限制的方式與被氧修飾後的原子層902b的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含氮介質的修飾之後,將原子層902b修飾為包括一矽─氮(Si-N)鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含氮介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含氮介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,未使用上述含氮介質。
在一些實施例中,然後將一含碳介質引入製程室702中,以對原子層902b進行修飾。上述含碳介質可包括甲烷、丙烷、乙烷或其類似者。上述含碳介質可以以一自限制的方式與被氮修飾後的原子層902b的表面反應,因此一旦上述反應位置耗盡則反應終結。在上述含碳介質的修飾之後,將原子層902b修飾為包括一氮─碳鍵。在一旦上述反應位置耗盡而反應終結之後,根據一些實施例,將上述含碳介質的多餘部分及/或反應副產物從製程室702移除。可藉由引入例如氬氣等的一沖洗用氣體,將上述含碳介質及/或反應副產物從製程室702移除。
可以對本發明實施例進行許多變化及/或修飾。可以改變上述含氧介質、上述含氮介質與上述含碳介質的引入順序。在一些其他的實施例中,未使用上述含碳介質。在一些其他的實施例中,未使用修飾反應介質。
在一些實施例中,方法300繼續進行操作310,在操作310中,將從操作302至操作308的沉積循環重複二或更多次,以形成更多的原子層。在一些實施例中,如第9C圖所示,形成原子層902a’、 902b’、 902a’’與902b’’。 在一些實施例中,原子層902a’與902a’’是使用上述第一含矽前驅物氣體而形成,而原子層902b’與902b’’是使用上述第二含矽前驅物氣體而形成。可將上述沉積循環重複更多次,以形成更多的原子層。其結果,如第9C與2B圖所示,這些原子層一起形成具有一所欲的厚度的密封層108。密封層108的厚度可以在約2 nm至約20 nm的範圍。在一些實施例中,密封層108包含矽、氧、碳與氮。在一些實施例中,密封層108是一SiOCN膜。
在一些實施例中,上述第二含矽前驅物氣體具有的碳的原子濃度大於上述第一含矽前驅物氣體具有的碳的原子濃度。因此,使用上述第二含矽前驅物氣體而形成的上述原子層(例如原子層902b、902b’與902b’’) 具有的碳的原子濃度是大於使用上述第一含矽前驅物氣體而形成的上述原子層(例如原子層902a、902a’與902a’’) 具有的碳的原子濃度。由於碳的原子濃度較大,原子層902b、902b’與902b’’所具有的介電常數可低於原子層902a、902a’與902a’’所具有的介電常數。上述第二含矽前驅物氣體可使所形成的密封層108包括一矽─碳鍵並具有低介電常數。密封層108的介電常數可以在約1.5至約3.5的範圍。
然而,在一些情況中,若使用上述第二含矽前驅物氣體而未使用上述第一含矽前驅物氣體來形成一密封層,所獲得的密封層可能會容易被後續的一含水退火製程所氧化及/或被一氧環境的蝕刻製成所損壞。水分可能會嵌入所得到的密封層,而可能會導致所得到的密封層的高介電常數。
在一些實施例中,上述第一含矽前驅物氣體具有的碳的原子濃度低於上述第二含矽前驅物氣體具有的碳的原子濃度。在一些實施例中,上述第一含矽前驅物氣體是實質上不含碳。因此,使用上述第一含矽前驅物氣體而形成的原子層(例如原子層902a、902a’與902a’’)所具有的介電常數是大於使用上述第二含矽前驅物氣體而形成的原子層(例如原子層902b、902b’與902b’’)所具有的介電常數。雖然原子層902a、902a’與902a’’所具有的介電常數是大於原子層902b、902b’與902b’’所具有的介電常數,但是與原子層902b、902b’與902b’’相比,具有較低的碳的原子濃度(或實質上不含碳)的原子層902a、902a’與902a’’具有較佳的抗氧化性。原子層902a、902a’與902a’’可以用來避免或減少原子層902b的氧化。因水分嵌入密封層108而增加整體的介電常數的情況,可加以避免。上述第一含矽前驅物氣體可使所形成的密封層108對後續的含氧蝕刻製程及/或含水退火製程具有高抵抗力。因此,原子層902a、902a’與902a’’以及原子層902b、902b’與902b’’的組合可以使密封層108具有低介電常數與承受後續製程的高氧化抵抗能力。避免密封層108的介電常數在後續的製程之後減少。
如第2C圖所示,根據一些實施例,在鰭狀物結構102中,形成複數個淡摻雜源極與汲極(lightly doped source and drain;LDS/D)區110。淡摻雜源極與汲極區110是形成在閘極堆疊107的相反側上。在一些實施例中,使用一離子佈植製程202來形成淡摻雜源極與汲極區110。密封層108可幫助決定所形成的淡摻雜源極與汲極區110的邊緣。在一些實施例中,是以一傾斜的角度來施行離子佈植製程202,而使所形成的淡摻雜源極與汲極區110延伸到閘極堆疊107的底部。
如第2D圖所示,根據一些實施例,在密封層108的上方沉積一間隔物層112。間隔物層112亦沿著閘極堆疊107的側壁及頂表面延伸。在一些實施例中,間隔物層112比密封層108還厚。在一些實施例中,是以相同的材料來形成間隔物層112與密封層108。在一些其他的實施例中,是以不同的材料來形成間隔物層112與密封層108。
在一些實施例中,間隔物層112是以一介電材料形成。上述介電材料可包括氧碳氮化矽(silicon oxycarbonitride)、碳化矽、氧氮化矽(silicon oxynitride)、氮化矽、氧化矽、一或多種其他適當的材料或上述之組合。可使用一化學氣相沉積(chemical vapor deposition;CVD)製程來沉積間隔物層112。在一些實施例中,是使用一原子層沉積製程來沉積間隔物層112。在後續的製程中,間隔物層112可能會遭受一含氧的蝕刻製程及/或一含水的退火製程。在一些實施例中,將密封層108形成為具有強抗氧化力的端基配位基。
在一些實施例中,依序且交替使用二或多種的前驅物氣體,以形成間隔物層112。上述前驅物氣體中的一個可對間隔物層112提供強抗氧化力的端基配位基。另一個前驅物氣體可對間隔物層112提供一含碳鍵結(例如一矽─碳鍵),而可造成低介電常數。因此,間隔物層112可避免其被氧化而仍具有一相對低的介電常數。例如,間隔物層112具有的介電常數低於二氧化矽的介電常數。
在一些實施例中,使用與用以形成密封層108者類似或相同的一原子層沉積製程來形成間隔物層112。在一些實施例中,交互且依序將一第三含矽前驅物氣體與一第四含矽前驅物氣體引入相同的製程室(例如:製程室702)中,以形成間隔物層112。繪示於第3圖的方法300可用來形成間隔物層112。在一些實施例中,上述第一含矽前驅物氣體與上述第三含矽前驅物氣體具有相同的成分。在一些實施例中,上述第二含矽前驅物氣體與上述第四含矽前驅物氣體具有相同的成分。在一些實施例中,間隔物層112比密封層108還厚。間隔物層112的厚度可以在約4 nm至約40 nm的範圍,密封層108的厚度可以在約2 nm至約20 nm的範圍。在一些實施例中,將從操作302至操作308的沉積循環重複數次,以形成數個原子層。其結果,這些原子層一起形成具有所欲的厚度的間隔物層112。
如第2E圖所示,根據一些實施例,將間隔物層112與密封層108局部移除,以形成複數個密封元件108’與複數個間隔物元件112’。在一些實施例中,使用一非等向性蝕刻以將間隔物層112與密封層108局部移除。其結果,間隔物層112與密封層108的留下來的部分形成了間隔物元件112’與密封元件108’。在一些實施例中,每個間隔物元件112’或間隔物元件112’中的一個沿著從閘極堆疊107的底部至閘極堆疊107的頂部的方向逐漸縮小。在一些實施例中,每個密封元件108’或密封元件108’中的一個具有一L形的輪廓。在形成間隔物元件112’與密封元件108’之後,曝露出包括淡摻雜源極與汲極區110的部分之鰭狀物結構102的部分,如第2E圖所示。
如第2F圖所示,根據一些實施例,局部性地移除鰭狀物結構102,以形成一凹部。在一些實施例中,使鰭狀物結構102的一部分下凹而低於第1圖所示的隔離構件103的頂表面。在一些實施例中,施行一蝕刻製程以移除鰭狀物結構102的一上部。其結果,形成上述凹部。在一些其他的實施例中,使用數個蝕刻操作而使上述凹部進一步水平延伸至閘極堆疊107下方的通道區。在上述蝕刻製程的過程中,亦可能局部性地移除淡摻雜源極與汲極區110。如第2F圖所示,淡摻雜源極與汲極區110的留下來的部分是位於上述凹部的側壁與閘極堆疊107的下方的通道區之間。
然後,如根據一些實施例的第2F圖所示,形成複數個源極/汲極結構114,以填充或過度填充上述凹部。在一些實施例中,源極/汲極結構114是從第1圖所示的隔離構件103的頂表面突出。在一些實施例中,在被下凹的鰭狀物結構102的上方,磊晶成長一半導體材料(或是二個或更多的半導體材料),以填充或過度填充上述凹部,並持續成長而越過上述凹部,以形成源極/汲極結構114。
在一些實施例中,源極/汲極結構114是以一p型的半導體材料形成。例如,源極/汲極結構114可包括磊晶成長的矽鍺(silicon germanium)。源極/汲極結構114並不限於以一p型的半導體材料形成。在一些實施例中,源極/汲極結構114是以一n型的半導體材料形成。源極/汲極結構114可包括磊晶成長的矽、磊晶成長的碳化矽(SiC)、磊晶成長的磷化矽(SiP)或其他適當的經磊晶成長的半導體材料。
在一些實施例中,使用一選擇性磊晶成長(selective epitaxy growth;SEG)製程、一化學氣相沉積製程(例如:一氣相磊晶(vapor-phase epitaxy;VPE)製程、一低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)製程及/或一超高真空化學氣相沉積製程(ultra-high vacuum chemical vapor deposition;UHV-CVD)製程)、一分子束磊晶製程、一或多道其他可應用的製程或上述之組合,來形成源極/汲極結構114。源極/汲極結構114的形成製程可使用氣態及/或液態前驅物。
在一些實施例中,源極/汲極結構114包括摻雜物。在一些實施例中,源極/汲極結構114是在源極/汲極結構114的成長過程中被就地(in-situ)摻雜。在一些其他的實施例中,源極/汲極結構114並未在源極/汲極結構114的成長過程中被摻雜,而是在磊晶成長之後,在後續的製程對源極/汲極結構114作摻雜。在一些實施例中,是使用一離子佈植製程、一氣體源或固體源的擴散製程、一或多道其他可應用的製程或上述之組合來達成上述摻雜。在一些實施例中,將源極/汲極結構114進一步暴露在一或多道退火製程,以將摻雜物活化。例如,使用一快速熱退火製程。
如第2G圖所示,根據一些實施例,在鰭狀物結構102、源極/汲極結構114與隔離構件103(示於第1圖)的上方,形成一介電層116以圍繞閘極堆疊107。在一些實施例中,介電層116是以氧化矽、氧氮化矽、硼矽玻璃(borosilicate glass;BSG)、磷矽玻璃(phosphoric silicate glass;PSG)、硼磷矽玻璃(borophosphosilicate glass;BPSG)、摻氟的矽玻璃(fluorinated silicate glass;FSG)、低介電常數材料、多孔介電材料、一或多種其他其他適當的介電材料或上述之組合形成,或包括氧化矽、氧氮化矽、硼矽玻璃、磷矽玻璃、硼磷矽玻璃、摻氟的矽玻璃、低介電常數材料、多孔介電材料、一或多種其他其他適當的介電材料或上述之組合。在一些實施例中,是使用一化學氣相沉積製程、一原子層沉積製程、一物理氣相沉積製程、一旋轉塗布製程、一或多道其他可應用的製程或上述之組合,來沉積介電層116。
在一些實施例中,是使用一可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)來形成介電層116。在一些實施例中,介電層116是以可流動的二氧化矽(SiO2 )形成。在上述可流動化學氣相沉積製程中,一含矽前驅物(例如:一有機矽烷)可以與一含氧前驅物(例如:氧、臭氧及氮的氧化物中的一或多個)反應,以形成介電層116。介電層116可具有實質上高濃度的矽─氫氧(Si—OH)鍵。上述鍵結可提升及/或優化介電層116的氧化矽材料的可流動性(或是移動性)。因此,上述氧化矽材料可以快速地移動而進入半導體基底100上及/或已經置於半導體基底100上的元件上的間隙及/或溝槽中。
在一些實施例中,介電層116的形成包括一熟化(curing)製程。上述熟化製程可包括將上述可流動的介電材料暴露於例如去離子水及/或臭氧(O3 )的一含氧介質。密封元件108’及/或間隔物元件112’對於上述含氧介質可具有高耐受性(或高抵抗力),避免水嵌入密封元件108’及/或間隔物元件112’中。密封元件108’及/或間隔物元件112’可以仍然具有低介電常數。
在上述熟化製程中,臭氧的流速可以在約100 sccm(每分鐘標準立方公分;standard cubic centimeters per minute)至約5000 sccm的範圍,製程溫度可以在約攝氏10度至約攝氏500度的範圍,製程壓力可以在約1 torrs(托)至約760 torrs的範圍。可以對本發明實施例進行許多變化及/或修飾。在一些實施例中,臭氧的流速可以在約1000 sccm至約3000 sccm的範圍。在一些實施例中,上述製程溫度可以在約攝氏50度至約攝氏300度的範圍。在一些實施例中,上述製程壓力可以在約50 torrs至約500 torrs的範圍。上述熟化製程可以轉換上述可流動的介電材料中的矽─氧鍵網路(Si—O bond networks)。其結果,可以增加上述可流動的介電材料的密度。
在一些實施例中,介電層116的形成包括一退火製程。上述退火製程可包括一蒸氣退火製程(steam annealing process)、一乾式退火製程(dry annealing process)、一電漿退火製程(plasma annealing process)、一紫外線(UV)退火製程(ultraviolet annealing process)、一電子束退火製程(electron beam annealing process)、一微波退火製程(microwave annealing process)、一或多道其他可應用的製程或上述之組合。
在一些實施例中,將有機矽烷或其類似者作為在形成介電層116的製程中的一來源氣體使用,而使來自上述有機矽烷的大量的碳被引至介電層116而形成例如矽─碳(Si—C)鍵及/或矽─氧─碳(Si—O—C)鍵。例如,上述有機矽烷包括四乙氧基矽烷(tetraethoxysilane)、四甲基二矽氧烷(tetramethyldisiloxane)或其他適當的含碳矽烷。上述退火製程可包括一蒸氣退火製程,用以在介電層116中將一些矽─碳鍵取代為矽─氫氧鍵。在上述蒸氣退火製程中,水蒸氣的流速可以在5 sccm至20 sccm的範圍,製程溫度可以在攝氏400度至攝氏600度的範圍。接下來,可以在一不含水的氣氛中(例如:在一乾氮的氣氛中),對介電層116施行一乾式退火製程,以將上述矽─氫氧鍵轉換為矽─氧─矽鍵並從介電層116移除水分。
然後,如根據一些實施例的第2G圖所示,將介電層116薄化,直到暴露出閘極堆疊107。在一些實施例中,是使用一平坦化製程將介電層116薄化。上述平坦化製程可包括一化學機械拋光(chemical mechanical polishing;CMP)製程、一研磨(grinding)製程、一蝕刻製程、一乾式拋光製程、一或多道其他可應用的製程或上述之組合。
如第2H圖所示,將閘極堆疊107移除,以形成一溝槽118,如根據一些實施例的第2H圖所示。溝槽118將鰭狀物結構102之原本被閘極堆疊107(如第2G圖所示)覆蓋的部分暴露出來。溝槽118亦可暴露部分的隔離構件103。在一些實施例中,溝槽118是被密封元件108’、 間隔物元件112’與介電層116所圍繞。在一些實施例中,是使用一乾式蝕刻製程、一溼式蝕刻製程、一或多道其他可應用的製程或上述之組合來移除閘極堆疊107。在一些實施例中,是使用含氧電漿來移除閘極堆疊107。密封元件108’可對於上述含氧電漿具有高耐受性(或高抵抗力)。
如第2I圖所示,根據一些實施例,在溝槽118中形成一金屬閘極堆疊130。在一些實施例中,形成複數個金屬閘極堆疊層,以過度地填充溝槽118。上述金屬閘極堆疊層可包括一閘極介電層、一阻障層、一功函數層、一阻擋層及/或一金屬填充層。
在一些實施例中,根據一些實施例,在溝槽118的側壁上及溝槽118的底部的上方,沉積一閘極介電層120。在一些實施例中,閘極介電層120是以一高介電常數介電層形成或包括一高介電常數介電層。上述高介電常數介電層是以氧化鉿、氧化鋯、氧化鋁、二氧化鉿—氧化鋁合金(hafnium dioxide-alumina alloy)、氧化鉿矽(hafnium silicon oxide)、氮氧化鉿矽(hafnium silicon oxynitride)、氧化鉿鉭(hafnium tantalum oxide)、氧化鉿鈦(hafnium titanium oxide)、氧化鉿鋯(hafnium zirconium oxide) 、一或多種其他適當的高介電常數材料或上述之組合形成。在一些實施例中,是使用一原子層沉積製程、一化學氣相沉積製程、一旋轉塗布製程、一或多道其他可應用的製程或上述之組合,來沉積閘極介電層120。在一些實施例中,使用一高溫退火操作,以減少或消除閘極介電層120中的缺陷。
在一些實施例中,在形成閘極介電層120之前,在溝槽118中形成一犧牲層(未繪示)。上述犧牲層可用來減少閘極介電層120與鰭狀物結構102之間的應力。在一些實施例中,上述犧牲層是以氧化矽形成或包括氧化矽。在一些實施例中,是使用一原子層沉積製程、一熱氧化製程、一或多道其他可應用的製程或上述之組合,來形成上述犧牲層。
然後,根據一些實施例,在閘極介電層120的上方形成一阻障層122。阻障層122可用來作為閘極介電層120與後續形成的功函數層的界面。阻障層122亦可用來避免閘極介電層120與後續形成的功函數層之間的擴散。
在一些實施例中,阻障層122是以一含金屬材料形成或包括一含金屬材料。上述含金屬材料可包括氮化鈦、氮化鉭、一或多種其他適當的材料或上述之組合。在一些實施例中,阻障層122包括多個子層(sub-layers)。上述子層可以是以不同材料形成。或者,上述子層可以是以相同材料形成。在一些實施例中,是使用一原子層沉積製程、一化學氣相沉積製程、一物理氣相沉積製程、一電鍍製程、一化學式沉積(electroless plating)製程、一或多道其他可應用的製程或上述之組合,來沉積阻障層122。在一些其他的實施例中,未形成阻障層122。
然後,根據一些實施例,在阻障層122的上方形成一功函數層124。功函數層124是用來為電晶體提供所欲的功函數,以強化包括臨界電壓的裝置效能。在形成一N型金屬—氧化物—半導體(n-type metal oxide semiconductor;NMOS)電晶體的實施例中,上述功函數層可以是一n型金屬層。上述n型金屬層能夠提供適用於此裝置的一功函數值,例如為小於或等於約4.5 eV。上述n型金屬層可包括金屬、金屬碳化物、金屬氮化物、其他適當的材料或上述之組合。例如,上述n型金屬層是以氮化鈦、鉭、氮化鉭、一或多種其他的適當的材料或上述之組合形成,或包括氮化鈦、鉭、氮化鉭、一或多種其他的適當的材料或上述之組合。
另一方面,在形成一P型金屬—氧化物—半導體(p-type metal oxide semiconductor;PMOS)電晶體的實施例中,上述功函數層可以是一p型金屬層。上述p型金屬層能夠提供適用於此裝置的一功函數值,例如為大於或等於約4.8 eV。上述p型金屬層可包括金屬、金屬碳化物、金屬氮化物、其他適當的材料或上述之組合。例如,上述p型金屬層是以氮化鈦、鉭、氮化鉭、一或多種其他的適當的材料或上述之組合形成,或包括氮化鉭、氮化鎢、鈦、氮化鈦、一或多種其他的適當的材料或上述之組合。
上述功函數層亦可以以鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如:碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導體的金屬氧化物或上述之組合形成,或可包括鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如:碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導體的金屬氧化物或上述之組合。可以微調上述功函數層的厚度及/或成分,以調整功函數等級。例如,一氮化鈦層可以用來作為一p型金屬層或一n型金屬層,視上述氮化鈦層的厚度及/或成分而定。
然後,根據一些實施例,在功函數層124的上方形成一阻擋層126。阻擋層126可以用來避免一後續形成的金屬填充層擴散或穿透而進入上述功函數層。在一些實施例中,阻擋層126是以氮化鉭、氮化鈦、一或多種適當的材料或上述之組合形成,或包括氮化鉭、氮化鈦、一或多種適當的材料或上述之組合。在一些實施例中,是使用一原子層沉積製程、一化學氣相沉積製程、一物理氣相沉積製程、一電鍍製程、一化學式沉積(electroless plating)製程、一或多道其他可應用的製程或上述之組合,來沉積阻擋層126。本發明實施例並不限於此。在一些其他的實施例中,未形成阻擋層126。
然後,根據一些實施例,在阻擋層126的上方形成一金屬填充層128,以填充溝槽118。在一些實施例中,金屬填充層128是以鎢、鋁、銅、鈷、一或多種適當的材料或上述之組合形成,或包括鎢、鋁、銅、鈷、一或多種適當的材料或上述之組合。在一些實施例中,是使用一化學氣相沉積製程、一物理氣相沉積製程、一電鍍製程、一化學式沉積(electroless plating)製程、一或多道其他可應用的製程或上述之組合,來沉積金屬填充層128。可以對本發明實施例進行許多變化及/或修飾。在一些其他的實施例中,未形成金屬填充層128。
在一些實施例中,這些金屬閘極堆疊層過度地填充溝槽118並覆蓋介電層116。在一些實施例中,將這些金屬閘極堆疊之在溝槽118外側的部分移除。其結果,在溝槽118中形成一金屬閘極堆疊130。在一些實施例中,使用一平坦化製程來將這些金屬閘極堆疊之在溝槽118外側的部分移除,直到暴露出介電層116。上述平坦化製程可包括一化學機械拋光製程、一研磨製程、一乾式拋光製程、一蝕刻製程、一或多道其他可應用的製程或上述之組合。
第4圖是根據一些實施例之一密封元件108’與一間隔物元件112’之在金屬閘極堆疊130附近的一部分的剖面圖。在一些實施例中,第4圖是第2I圖所示結構的一放大的剖面圖。密封元件108’可具有在約2 nm至約20 nm的範圍的厚度。間隔物元件112’ 可具有在約4 nm至約40 nm的範圍的厚度。在一些實施例中,方法300是用來形成密封元件108’及/或間隔物元件112’。在這些情況中,密封元件108’及/或間隔物元件112’可具有均勻的碳的原子濃度。
在一些實施例中,密封元件108’具有藉由交互且依序使用上述第一含矽前驅物氣體與上述第二含矽前驅物氣體而形成的複數個原子層。如前所述,使用上述第一含矽前驅物氣體或上述第二含矽前驅物氣體而形成的每個原子層可具有從約2 Å至約10 Å的範圍內的厚度。在一些實施例中,間隔物元件112’所具有的結構類似於密封元件108’的結構。沉積循環可重複更多次,以形成厚度大於密封元件108’的厚度的間隔物元件112’。
第5圖是根據一些實施例之一密封元件108’與一間隔物元件112’之在金屬閘極堆疊130附近的一部分的剖面圖。在一些實施例中,密封元件108’具有一第一部分502a與一第二部分502b。在一些實施例中,第一部分502a是在第二部分502b與金屬閘極堆疊130之間。在一些實施例中,間隔物元件112’ 具有一第一部分504a與一第二部分504b。在一些實施例中,第一部分504a是在第二部分504b與金屬閘極堆疊130之間。
在一些實施例中,密封元件108’的第一部分502a或間隔物元件112’的第一部分504a的形成,包括重複引入上述第一含矽前驅物氣體。在一些實施例中,在引入上述第一含矽前驅物氣體與再一次引入上述第一含矽前驅物氣體之間,引入上述修飾反應介質。密封元件108’的第一部分502a或間隔物元件112’的第一部分504a包括使用上述第一含矽前驅物氣體而形成的一疊的多個原子層。在一些實施例中,無法偵測到這些原子層之間的界面。第8A與8B圖是根據一些實施例之使用一原子層沉積製程而用以形成一密封層或一間隔物層的方法的流程圖。在一些實施例中,如第8A圖所示,將操作302與304重複數次,直到完成了所欲厚度的第一部分502a或502b的形成。
在一些實施例中,密封元件108’的第二部分502b或間隔物元件112’的第二部分504b的形成,包括重複引入上述第二含矽前驅物氣體。在一些實施例中,在引入上述第二含矽前驅物氣體與再一次引入上述第二含矽前驅物氣體之間,引入上述修飾反應介質。密封元件108’的第二部分502b或間隔物元件112’的第二部分504b包括使用上述第二含矽前驅物氣體而形成的一疊的多個原子層。在一些實施例中,無法偵測到這些原子層之間的界面。在一些實施例中,如第8B圖所示,將操作306與308重複數次,直到完成了所欲厚度的第二部分502b或504b的形成。
在一些實施例中,第二部分502b所具有的碳的原子濃度不同於第一部分502a的碳的原子濃度。在一些實施例中,第二部分504b所具有的碳的原子濃度不同於第一部分504a的碳的原子濃度。在一些實施例中,第二部分502b所具有的碳的原子濃度大於第一部分502a的碳的原子濃度。在一些實施例中,第二部分504b所具有的碳的原子濃度大於第一部分504a的碳的原子濃度。在一些實施例中,是使用實質上不含碳的上述第一含矽前驅物氣體來形成第一部分502a與504a。在一些實施例中,第一部分502a與504a亦實質上不含碳。
在一些其他的實施例中,在引入上述第一含矽前驅物氣體與再一次引入上述第一含矽前驅物氣體之間引入的上述修飾反應介質,包括碳。在這些情況中,第一部分502a與504a可能亦包括碳。第一部分502a或504a的碳的原子濃度,小於使用包括一矽─碳鍵的上述第二含矽前驅物氣體而形成的第二部分502b或504b的碳的原子濃度。在一些實施例中,第一部分502a(或504a)中所含的碳對比於第二部分502b(或504b)中所含的碳的比值是在約0.5至約0.9的範圍。
在一些實施例中,第一部分502a(或504a)包括的原子層是使用實質上不含碳的上述第一含矽前驅物氣體所形成。在一些實施例中,第一部分502a(或504a)不包括矽─碳鍵。第二部分502b(或504b)包括的原子層是使用包括一矽─碳鍵的上述第二含矽前驅物氣體所形成。第二部分502b(或504b)所具有的碳的原子濃度大於第一部分502a(或504a)的碳的原子濃度。由於較高的碳含量,第二部分502b(或504b)可對密封元件108’(或間隔物元件112’)的一較低的介電常數有貢獻。相對於第二部分502b(或504b),不包括矽─碳鍵的第一部分502a(或504a)可具有較佳的抗氧化能力。因此,第一部分502a(或504a)可保護第二部分502b(或504b),避免其在例如用於形成介電層116的含水的退火製程(在第2G圖中)與用於移除閘極堆疊107(在第2H圖中)的含氧的電漿處理等的後續製程的過程中被氧化或受損。
第6圖是根據一些實施例之一半導體裝置結構的一密封元件的一部分的剖面圖。在一些實施例中,密封元件108’包括一疊的多個第一部分602a與多個第二部分602b。在一些實施例中,每個第一部分602a與如第5圖所示的第一部分502a具有相似或相同的成分。在一些實施例中,每個第二部分602b與如第5圖所示的第二部分502b具有相似或相同的成分。在一些實施例中,可將繪示於第8A與8B圖的方法重複數次,以形成示於第6圖的結構。在一些實施例中,間隔物元件112’亦可具有如第6圖所示的相似結構。
本發明實施例使用一原子層沉積製程,在一閘極堆疊的側壁上形成一密封元件及/或一間隔物元件。交替及依序引入一第一含矽前驅物氣體與一第二含矽前驅物氣體,以形成用以形成上述密封元件或上述間隔物元件的一材料層。上述第二含矽前驅物氣體所具有的碳的原子濃度,可大於上述第一含矽前驅物氣體的碳的原子濃度。上述第二含矽前驅物氣體可使上述材料層具有一低介電常數。上述第一含矽前驅物氣體可使上述材料層對後續的含氧蝕刻製程及/或含水退火製程具有高耐受性(或高抵抗能力)。例如,上述第二含矽前驅物氣體包括一矽─碳鍵,而上述第一含矽前驅物氣體則未包括任何矽─碳鍵。上述第一含矽前驅物氣體可以用來對上述密封層及/或上述間隔物層提供強抗氧化力的端基配位基。上述第二含矽前驅物氣體可以用來對上述密封層及/或上述間隔物層提供一含碳鍵結(例如一矽─碳鍵),其可造成一低介電常數。因此,獲得了具有一低介電常數與承受後續製程的優良抗氧化能力的一密封元件及/或一間隔物元件。改善了製程可行性與製程窗口(process window)。
關於一些實施例,是提供一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層。上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體的碳的原子濃度不同。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。在一實施例中,上述第二含矽前驅物氣體具有的碳的原子濃度大於上述第一含矽前驅物氣體的碳的原子濃度。在一實施例中,上述半導體裝置結構的形成方法更包括:在引入上述第一含矽前驅物氣體之後且在引入上述第二含矽前驅物氣體之前,引入至少一個第一修飾反應介質(modifying reactive media);以及在引入上述第二含矽前驅物氣體之後且在再一次引入上述第一含矽前驅物氣體之前,引入至少一個第二修飾反應介質。在一實施例中,引入上述至少一個第一修飾反應介質包括:引入一含氧介質;引入一含氮介質;以及引入一含碳介質。在一實施例中,引入上述至少一個第二修飾反應介質包括:引入一含氧介質;引入一含氮介質;以及引入一含碳介質。在一實施例中,上述第一含矽前驅物氣體包括一矽─鹵素鍵、一矽─氮鍵、一矽─氫鍵或上述之組合。在一實施例中,上述第二含矽前驅物氣體包括一矽─碳鍵。在一實施例中,上述第二含矽前驅物氣體更包括一矽─鹵素鍵、一矽─氮鍵、一矽─氫鍵或上述之組合。在一實施例中,上述半導體裝置結構的形成方法更包括:在上述密封層之下形成一淡摻雜區;在上述密封層的上方形成一間隔物層;以及局部移除上述間隔物層,以在上述密封元件的上方形成一間隔物元件。在一實施例中,是使用一第二原子層沉積製程來形成上述間隔物層,其中上述第二原子層沉積製程包括:交互並依序將一第三含矽前驅物氣體與一第四含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述間隔物層,其中上述第四含矽前驅物氣體具有的碳的原子濃度與上述第三含矽前驅物氣體的碳的原子濃度不同。在一實施例中,上述第一含矽前驅物氣體與上述第三含矽前驅物氣體具有相同的成分,上述第二含矽前驅物氣體與上述第四含矽前驅物氣體具有相同的成分。
關於一些實施例,是提供一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:重複地將一第一含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層的一第一部分;以及重複地將一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以在上述密封層的上述第一部分的上方形成上述密封層的一第二部分。上述第二含矽前驅物氣體具有的碳的原子濃度與上述第一含矽前驅物氣體的碳的原子濃度不同。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。在一實施例中,上述半導體裝置結構的形成方法更包括:在引入上述第一含矽前驅物氣體之後且在再一次引入上述第一含矽前驅物氣體之前,引入至少一個第一修飾反應介質;以及在引入上述第二含矽前驅物氣體之後且在再一次引入上述第二含矽前驅物氣體之前,引入至少一個第二修飾反應介質。在一實施例中,引入上述至少一個第一修飾反應介質包括:引入一含氧介質;引入一含氮介質;以及引入一含碳介質。在一實施例中,引入上述至少一個第二修飾反應介質包括:引入一含氧介質;引入一含氮介質;以及引入一含碳介質。在一實施例中,是使用一第二原子層沉積製程以在上述密封層的上方形成一間隔物層,其中上述第二原子層沉積製程包括:重複將一第三含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以在上述密封層的上述第二部分的上方形成上述密封層的一第三部分;以及重複將一第四含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以在上述密封層的上述第三部分的上方形成上述密封層的一第四部分,其中上述第四含矽前驅物氣體具有的碳的原子濃度與上述第三含矽前驅物氣體的碳的原子濃度不同。在一實施例中,上述第三含矽前驅物氣體與上述第一含矽前驅物氣體具有相同的成分。在一實施例中,上述半導體裝置結構的形成方法更包括:交互並依序將一第三含矽前驅物氣體與一第四含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述間隔物層,其中上述第四含矽前驅物氣體具有的碳的原子濃度與上述第三含矽前驅物氣體的碳的原子濃度不同。
關於一些實施例,是提供一種半導體裝置結構的形成方法。上述方法包括:在一半導體基底的上方,形成一閘極堆疊。上述方法亦包括:使用一原子層沉積製程,在上述閘極堆疊的一側壁上,形成一密封層。上述原子層沉積製程包括:交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至上述閘極堆疊的上述側壁上,以形成上述密封層。上述第二含矽前驅物氣體包括一矽─碳鍵,而上述第一含矽前驅物氣體不包括任何矽─碳鍵。上述方法還包括:局部移除上述密封層,以在上述閘極堆疊的上述側壁上形成一密封元件。在一實施例中,上述半導體裝置結構的形成方法更包括:在引入上述第一含矽前驅物氣體之後且在引入上述第二含矽前驅物氣體之前,引入至少一個第一修飾反應介質;以及在引入上述第二含矽前驅物氣體之後且在再一次引入上述第一含矽前驅物氣體之前,引入至少一個第二修飾反應介質。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100‧‧‧半導體基底
102‧‧‧鰭狀物結構
103‧‧‧隔離構件
104‧‧‧閘極介電層
106‧‧‧閘極電極
107‧‧‧閘極堆疊
108‧‧‧密封層
108’‧‧‧密封元件
110‧‧‧淡摻雜源極與汲極區
112‧‧‧間隔物層
112’‧‧‧間隔物元件
114‧‧‧源極/汲極結構
116‧‧‧介電層
118‧‧‧溝槽
120‧‧‧閘極介電層
122‧‧‧阻障層
124‧‧‧功函數層
126‧‧‧阻擋層
128‧‧‧金屬填充層
130‧‧‧金屬閘極堆疊
202‧‧‧離子佈植製程
300‧‧‧方法
302、304、306、308、310‧‧‧操作
502a、504a、602a‧‧‧第一部分
502b、504b、602b‧‧‧第二部分
702‧‧‧製程室
902a、902b、902a’、902b’、902a’’、902b’’‧‧‧原子層
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是根據一些實施例之用以形成一半導體裝置結構的製程的一中間階段的透視圖。 第2A至2I圖是根據一些實施例之用以形成一半導體裝置結構的製程的各種階段的剖面圖。 第3圖是根據一些實施例之使用一原子層沉積製程而用以形成一材料層的方法的流程圖。 第4圖是根據一些實施例之一半導體裝置結構的一密封元件或一間隔物元件的一部分的剖面圖。 第5圖是根據一些實施例之一半導體裝置結構的一密封元件或一間隔物元件的一部分的剖面圖。 第6圖是根據一些實施例之一半導體裝置結構的一密封元件的一部分的剖面圖。 第7圖顯示根據一些實施例之用以施行一原子層沉積製程的一製程室(process chamber)。 第8A圖是根據一些實施例之使用一原子層沉積製程而用以形成一材料層的方法的流程圖。 第8B圖是根據一些實施例之使用一原子層沉積製程而用以形成一材料層的方法的流程圖。 第9A至9C圖是根據一些實施例之用以形成一半導體裝置結構的製程的各種階段的剖面圖。

Claims (1)

  1. 一種半導體裝置結構的形成方法,包括: 在一半導體基底的上方,形成一閘極堆疊; 使用一原子層沉積製程,在該閘極堆疊的一側壁上,形成一密封層,其中該原子層沉積製程包括: 交互並依序將一第一含矽前驅物氣體與一第二含矽前驅物氣體引至該閘極堆疊的該側壁上,以形成該密封層,其中該第二含矽前驅物氣體具有的碳的原子濃度與該第一含矽前驅物氣體的碳的原子濃度不同;以及 局部移除該密封層,以在該閘極堆疊的該側壁上形成一密封元件。
TW107141129A 2017-11-21 2018-11-20 半導體裝置結構的形成方法 TW201926439A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762589231P 2017-11-21 2017-11-21
US62/589,231 2017-11-21
US16/157,589 2018-10-11
US16/157,589 US10535512B2 (en) 2017-11-21 2018-10-11 Formation method of semiconductor device with gate spacer

Publications (1)

Publication Number Publication Date
TW201926439A true TW201926439A (zh) 2019-07-01

Family

ID=66534051

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107141129A TW201926439A (zh) 2017-11-21 2018-11-20 半導體裝置結構的形成方法

Country Status (3)

Country Link
US (4) US10535512B2 (zh)
CN (1) CN109817526A (zh)
TW (1) TW201926439A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709167B (zh) * 2019-07-17 2020-11-01 台灣積體電路製造股份有限公司 具有減少陷阱缺陷的半導體裝置及其形成方法
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957543B2 (en) 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10535512B2 (en) 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US11757020B2 (en) * 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6512273B1 (en) * 2000-01-28 2003-01-28 Advanced Micro Devices, Inc. Method and structure for improving hot carrier immunity for devices with very shallow junctions
US6812073B2 (en) * 2002-12-10 2004-11-02 Texas Instrument Incorporated Source drain and extension dopant concentration
US7217626B2 (en) * 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7229869B2 (en) * 2005-03-08 2007-06-12 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US20130299920A1 (en) * 2012-05-08 2013-11-14 Haizhou Yin Semiconductor device and method for manufacturing the same
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9419101B1 (en) * 2015-11-04 2016-08-16 Globalfoundries Inc. Multi-layer spacer used in finFET
US20190067115A1 (en) * 2017-08-23 2019-02-28 Globalfoundries Inc. Gate cut method for replacement metal gate
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709167B (zh) * 2019-07-17 2020-11-01 台灣積體電路製造股份有限公司 具有減少陷阱缺陷的半導體裝置及其形成方法
US11329139B2 (en) 2019-07-17 2022-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with reduced trap defect and method of forming the same
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置

Also Published As

Publication number Publication date
US11854796B2 (en) 2023-12-26
US20220328306A1 (en) 2022-10-13
US20190157075A1 (en) 2019-05-23
US11393677B2 (en) 2022-07-19
US20200152450A1 (en) 2020-05-14
CN109817526A (zh) 2019-05-28
US20210118677A1 (en) 2021-04-22
US10867785B2 (en) 2020-12-15
US10535512B2 (en) 2020-01-14

Similar Documents

Publication Publication Date Title
US11393677B2 (en) Semiconductor device structure with gate spacer
US10686075B2 (en) Self-aligned gate hard mask and method forming same
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
KR102287552B1 (ko) 게이트 라스트 프로세스에서의 선택적 하이 k 형성
US10861959B2 (en) Deposition selectivity enhancement and manufacturing method thereof
CN111211089B (zh) 集成电路结构及其制造方法
US10727064B2 (en) Post UV cure for gapfill improvement
CN108122744B (zh) 半导体器件及其制造方法
TWI737007B (zh) 積體電路裝置及其形成方法
CN111261703B (zh) 半导体器件及制造方法
US20240088144A1 (en) Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor
CN110957271A (zh) 集成电路装置的形成方法
US10957585B2 (en) Semiconductor device and method of forming the same
CN109300790B (zh) 具有牺牲多晶硅层的接触蚀刻停止层
CN109786254B (zh) 后栅极工艺中的选择性高k形成
TWI785775B (zh) 一種半導體元件及其製造方法
TWI811781B (zh) 半導體裝置的形成方法
KR20220109281A (ko) 어닐링을 통한 유전체 필름의 k 값 감소
TW202117813A (zh) 半導體裝置製造方法