CN109817526A - 半导体装置结构的形成方法 - Google Patents

半导体装置结构的形成方法 Download PDF

Info

Publication number
CN109817526A
CN109817526A CN201811365851.0A CN201811365851A CN109817526A CN 109817526 A CN109817526 A CN 109817526A CN 201811365851 A CN201811365851 A CN 201811365851A CN 109817526 A CN109817526 A CN 109817526A
Authority
CN
China
Prior art keywords
mentioned
layer
precursor gas
silicon precursor
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811365851.0A
Other languages
English (en)
Inventor
涂官瑶
彭羽筠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109817526A publication Critical patent/CN109817526A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

提供半导体装置结构的结构及形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:交互并依序将一第一含硅前体气体与一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层。上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体的碳的原子浓度不同。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。

Description

半导体装置结构的形成方法
技术领域
本发明实施例涉及半导体装置的工艺,特别涉及半导体装置结构的形成方法。
背景技术
半导体集成电路(integrated circuit;IC)工业已历经了快速成长。在集成电路的材料与设计的技术发展下,已产出数个世代的集成电路,每个世代均比其前一个世代具有更小且更复杂的电路。
在集成电路革命的过程中,通常随着功能密度(例如:每单位芯片面积的互连的装置数量)的增加而缩减几何尺寸(例如:使用一工艺所能形成的最小构件(或线))。这样的尺寸缩减的过程通常会经由增加制造效率与降低关连的成本而获得效益。
然而,这样的发展也会增加所加工及制造的集成电路的复杂度。由于特征尺寸持续地缩减,工艺的施行则变得愈来愈困难。因此,以愈来愈小的尺寸来形成可靠的半导体装置,会是个挑战。
发明内容
一实施例涉及一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:交互并依序将一第一含硅前体气体与一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层。上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体的碳的原子浓度不同。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。
另一实施例涉及一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:重复地将一第一含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层的一第一部分;以及重复地将一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以在上述密封层的上述第一部分的上方形成上述密封层的一第二部分。上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体的碳的原子浓度不同。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。
又另一实施例涉及一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:交互并依序将一第一含硅前体气体与一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层。上述第二含硅前体气体包括一硅-碳键,而上述第一含硅前体气体不包括任何硅-碳键。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。
附图说明
根据以下的详细说明并配合附图做完整揭露。应注意的是,根据本产业的一般作业,图示并未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1是根据一些实施例的用以形成一半导体装置结构的工艺的一中间阶段的透视图。
图2A至图2I是根据一些实施例的用以形成一半导体装置结构的工艺的各种阶段的剖面图。
图3是根据一些实施例的使用一原子层沉积工艺而用以形成一材料层的方法的流程图。
图4是根据一些实施例的一半导体装置结构的一密封元件或一间隔物元件的一部分的剖面图。
图5是根据一些实施例的一半导体装置结构的一密封元件或一间隔物元件的一部分的剖面图。
图6是根据一些实施例的一半导体装置结构的一密封元件的一部分的剖面图。
图7显示根据一些实施例的用以施行一原子层沉积工艺的一工艺室(processchamber)。
图8A是根据一些实施例的使用一原子层沉积工艺而用以形成一材料层的方法的流程图。
图8B是根据一些实施例的使用一原子层沉积工艺而用以形成一材料层的方法的流程图。
图9A至图9C是根据一些实施例的用以形成一半导体装置结构的工艺的各种阶段的剖面图。
附图标记说明:
100 半导体基底
102 鳍状物结构
103 隔离构件
104 栅极介电层
106 栅极电极
107 栅极堆叠
108 密封层
108’ 密封元件
110 淡掺杂源极与漏极区
112 间隔物层
112’ 间隔物元件
114 源极/漏极结构
116 介电层
118 沟槽
120 栅极介电层
122 阻障层
124 功函数层
126 阻挡层
128 金属填充层
130 金属栅极堆叠
202 离子注入工艺
300 方法
302、304、306、308、310 操作
502a、504a、602a 第一部分
502b、504b、602b 第二部分
702 工艺室
902a、902b、902a’、902b’、902a”、 原子层
902b”
具体实施方式
要了解的是,以下公开的内容提供许多不同的实施例或范例以实施本发明实施例的不同构件。以下公开的内容叙述各个构件及其排列方式的特定实施例或范例,以简化本发明实施例的说明。当然,这些特定的范例并非用以限定。例如,元件的尺寸并非受限于所揭露的范围或值,但可能依存于工艺条件及/或装置所需求的性质。此外,若本发明实施例叙述了一第一构件形成于一第二构件之上或上方,即表示其可能包括上述第一构件与上述第二构件直接接触的实施例,也可能包括了有附加构件形成于上述第一构件与上述第二构件之间,而使上述第一构件与第二构件可能未直接接触的实施例。为了简洁,可能以任意的比例示出各种构件。此外,本发明实施例可能会在各种实施例重复使用相同的元件符号。这样的重复是为了叙述上的简化与明确,而非意指所讨论的不同实施例及/或结构之间的关系。
此外,之所以使用与空间相关用词,例如“在……下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,是为了便于描述图示中一个元件或构件与另一个(些)元件或构件之间的关系。除了在图中示出的方位外,这些空间相关用词意欲包括使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),则在此使用的空间相关词也可依此相同解释。
在此揭露一些实施例。可以在这些实施例中叙述的阶段之前、过程中及/或之后提供附加的操作,且所叙述的一些操作可被取代、裁减或重新配置,作为不同的实施例。可在半导体装置结构加上额外的构件。以下叙述的构件中的一些可被取代、裁减或重新配置,作为不同的实施例。虽然以一特定顺序来施行多个操作的方式来讨论一些实施例,但是可以以另一个逻辑顺序来施行这些操作。
本发明实施例可关于一种具有鳍状物的鳍式场效应晶体管(FinFET)结构。可经由任何适当的方法来将上述鳍状物图形化。例如,可使用包括双重图形化或多重图形化工艺的一或多道光刻工艺,将上述鳍状物图形化。一般而言,双重图形化或多重图形化工艺组合了光刻及自对准工艺,得以使所形成的图形所具有的例如截距(pitch)小于另外使用一单一、直接的光刻工艺所能获得的截距。例如,在一些实施例中,将一牺牲层形成在一基底的上方并使用一微影工艺将上述牺牲层图形化。使用一自对准工艺而倚靠着图形化的牺牲层来形成间隔物。然后,移除上述牺牲层,然后可以将留下来的间隔物用来图形化上述鳍状物。然而,可以使用一或多种其他可应用的工艺来形成上述鳍状物。
图1是根据一些实施例的用以形成一半导体装置结构的工艺的一中间阶段的透视图。在一些实施例中,图1显示用以形成一鳍式场效应晶体管(fin field-effecttransistor;FinFET)的一中间阶段。
图2A至图2I是根据一些实施例的用以形成一半导体装置结构的工艺的各种阶段的剖面图。在一些实施例中,示于图2A中的结构对应于沿着图1的线I-I取得的剖面图。如图2A所示,接收或提供一半导体基底100。
在一些实施例中,半导体基底100是一块体(bulk)的半导体基底,例如为一半导体晶圆。例如,半导体基底100包括硅或例如锗等的其他元素半导体材料。半导体基底100可以是未掺杂或已掺杂(例如:p型、n型或上述的组合)。在一些其他的实施例中,半导体基底100包括一化合物半导体。上述化合物半导体可包括碳化硅、砷化镓、砷化铟、磷化铟、一或多种其他适当的化合物半导体或上述的组合。在一些实施例中,半导体基底100是一绝缘物上覆半导体(semiconductor-on-insulator;SOI)基底的一主动层。可使用一氧离子注入隔离(separation by implantation of oxygen;SIMOX)工艺、一晶圆接合工艺、其他可应用的方法或上述的组合来形成上述绝缘物上覆半导体基底。在一些其他的实施例中,半导体基底100包括一多层结构。例如,半导体基底100包括形成在一块体的硅层上的一硅-锗层。
如图1所示,根据一些实施例,在半导体基底100中形成多个凹部(或多个沟槽)。其结果,在上述凹部之间形成或定义出多个鳍状物结构102。在一些实施例中,使用一或多道光刻与蚀刻工艺来形成上述凹部。在图2A中,仅显示一个鳍状物结构102。在一些实施例中,鳍状物结构102与半导体基底100直接接触,因为鳍状物结构102原本就是半导体基底100的连续的部分。
然而,可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,鳍状物结构102并未直接与半导体基底100接触。可以在半导体基底100与鳍状物结构102之间形成一或多个其他的材料层。例如,在形成鳍状物结构102之前,可以在半导体基底100的上方形成一介电层。
如图1所示,根据一些实施例,在上述凹部中形成隔离构件103而围绕鳍状物结构102的下部。隔离构件103用来定义并电性隔离形成于半导体基底100中及/或上方的各种装置元件。在一些实施例中,隔离构件103包括浅沟槽隔离构件(shallow trench isolation;STI)、硅的局部氧化构件(local oxidation of silicon;LOCOS)、其他适当的隔离构件或上述的组合。
在一些实施例中,会在半导体基底100的上方沉积一介电材料层。上述介电材料层覆盖鳍状物结构102并填充鳍状物结构102之间的凹部。在一些实施例中,使用一化学气相沉积(chemical vapor deposition;CVD)工艺、一原子层沉积(atomic layer deposition;ALD)工艺、一物理气相沉积(physical vapor deposition;PVD)工艺、一旋转涂布(spin-on)工艺、一或多道其他可应用的工艺或上述的组合,来沉积上述介电材料层。在一些实施例中,使用一平坦化工艺以将上述介电材料层薄化,直到暴露出鳍状物结构102或定义鳍状物结构的硬罩幕元件。上述平坦化工艺可包括一化学机械抛光(chemical mechanicalpolishing;CMP)工艺、一研磨(grinding)工艺、一干式抛光(dry polishing)工艺、一蚀刻工艺、一或多道其他可应用的工艺或上述的组合。然后,将上述介电材料层回蚀,而使鳍状物结构102在上述蚀刻工艺之后突出于留下来的上述介电材料层的顶表面。其结果,如图1所示,上述介电材料层的留下来的部分形成隔离构件103。
然后,如根据一些实施例的图1与图2A所示,在半导体基底100的上方形成一栅极堆叠107,以局部覆盖鳍状物结构102。如图1与图2A所示,栅极堆叠107包括一栅极电极106与一栅极介电层104。在一些实施例中,栅极堆叠107是一虚置(dummy)栅极堆叠而可以以例如一金属栅极堆叠等的另一个栅极堆叠取代之。
在一些实施例中,在隔离构件103与鳍状物结构102的上方,沉积一栅极介电层与一栅极电极层。在一些实施例中,上述栅极介电层以氧化硅、氮化硅、氧氮化硅、具有高介电常数的介电材料、一或多种其他适当的介电材料或上述的组合形成,或包括氧化硅、氮化硅、氧氮化硅、具有高介电常数的介电材料、一或多种其他适当的介电材料或上述的组合。具有高介电常数的介电材料的例子包括氧化铪、氧化锆、氧化铝、二氧化铪-氧化铝合金(hafnium dioxide-alumina alloy)、氧化铪硅(hafnium silicon oxide)、氮氧化铪硅(hafnium silicon oxynitride)、氧化铪钽(hafnium tantalum oxide)、氧化铪钛(hafnium titanium oxide)、氧化铪锆(hafnium zirconium oxide)、一或多种其他适当的高介电常数材料或上述的组合。在一些实施例中,上述介电材料层是一虚置的(dummy)栅极介电层,后续将会被移除。上述虚置的栅极介电层例如是氧化硅层。
在一些实施例中,使用一化学气相沉积(chemical vapor deposition;CVD)工艺、一原子层沉积(atomic layer deposition;ALD)工艺、一热氧化工艺、一物理气相沉积(physical vapor deposition;PVD)工艺、一或多道其他可应用的工艺或上述的组合,来沉积上述栅极介电层。
在一些实施例中,上述栅极电极层是一虚置的栅极电极层,且以例如多晶硅等的一介电材料形成,或包括例如多晶硅等的一介电材料。例如,使用一化学气相沉积工艺或其他可应用的工艺,来沉积上述虚置的栅极电极层。
然后,根据一些实施例,在上述栅极电极层的上方,形成一图形化的硬罩幕元件(未示出)。上述图形化的硬罩幕元件用来将上述栅极电极层及上述栅极介电层图形化而成为一或多个栅极堆叠。然后,如根据一些实施例的第1与2A图所示,使用上述图形化的硬罩幕元件作为一蚀刻罩幕,对上述栅极电极层及上述栅极介电层进行蚀刻,而形成包括栅极堆叠107的多个栅极堆叠。
如第2B图所示,根据一些实施例,沉积一密封层108。密封层108在鳍状物结构102上以及栅极堆叠107的顶表面与侧壁上延伸。可以将密封层108用来帮助用以形成淡掺杂源极与漏极(lightly-doped source and drain;LDS/D)区的一后续离子注入工艺。
在一些实施例中,密封层108以一介电材料形成。上述介电材料可包括氧碳氮化硅(silicon oxycarbonitride)、碳化硅、氧氮化硅、氮化硅、氧化硅、一或多种其他适当的材料或上述的组合。可使用一化学气相沉积(chemical vapor deposition;CVD)工艺来沉积密封层108。在一些实施例中,使用一原子层沉积(atomic layer deposition;ALD)工艺来沉积密封层108。在后续的工艺中,密封层108可能会遭受一含氧的蚀刻工艺及/或一含水的退火工艺。在一些实施例中,将密封层108形成为具有强抗氧化力的端基配位基(terminalligand),可避免密封层108被氧化及/或被水嵌入(inserted water)。因此,密封层108可以具有低介电常数。随着半导体装置的密度的增加以及电路元件的尺寸变得更小,阻容迟滞(resistance capacitance delay;RC delay)时间对于电路效能的支配程度增加。使密封层108维持具有低介电常数,可帮助改善半导体装置的效能。
在一些实施例中,在施行一原子层沉积工艺以形成密封层108的相同的工艺室中,依序且交替使用二或多种的含硅前体。上述含硅前体中的一个可对所形成的密封层108的强抗氧化力的端基配位基有所贡献。另一个含硅前体可使所形成的密封层108具有较低的介电常数。因此,密封层108可具有低介电常数及对后续的含氧蚀刻工艺及/或含水退火工艺的高抵抗性。
图3是根据一些实施例的使用一原子层沉积工艺而用以形成一材料层的方法300的流程图。在一些实施例中,方法300用来形成密封层108。图7显示根据一些实施例的用以施行一原子层沉积工艺的一工艺室702。在一些实施例中,将示于图2A的结构传送而进入工艺室702,用以经由方法300来形成密封层108。在一些实施例中,交互并依序将一第一含硅前体气体与一第二含硅前体气体引入工艺室702,以形成密封层108。
在一些实施例中,方法300包括一操作302,在操作302中,将一第一含硅前体气体引至栅极堆叠107的侧壁上。在一些实施例中,也将上述第一含硅前体气体施加在栅极堆叠107的顶表面上、鳍状物结构102的暴露的表面上及/或隔离构件103的顶表面上。在一些实施例中,上述第一含硅前体气体包括一硅-卤素键(例如一硅-氯键或一硅-溴键)、一硅-氮键、一硅-氢键或上述的组合。例如,上述第一含硅前体气体可以是或可包含氯化硅、溴化硅、碘化硅、硅烷、含硅烷的胺基、一或多种其他适当或类似的化合物或上述的组合。在一些其他的实施例中,上述第一含硅前体气体还包括一硅-碳键。
上述第一含硅前体气体可以以一连续、自限制的(self-limiting)方式一次与一材料的表面反应。上述前体的分子可以以一自限制的方式与栅极堆叠107的表面反应,因此一旦栅极堆叠107的表面上的反应位置(reactive sites)耗尽,则反应结束。在一些实施例中,提供一充足的反应时间,来确保栅极堆叠107的表面上的所有或几乎所有的反应位置与上述前体分子反应并耗尽。来自上述第一含硅前体气体的含硅物种可能会被吸收到栅极堆叠107的表面上,以形成一原子层。
图9A至图9C是根据一些实施例的用以形成一半导体装置结构的工艺的各种阶段的剖面图。在一些实施例中,图9A是图2A所示结构的放大的剖面图。在一些实施例中,来自上述第一含硅前体气体的含硅物种被吸收至栅极堆叠107的表面上,以形成一原子层902a。在一些实施例中,上述第一含硅前体气体实质上不含碳。因此,根据一些实施例,原子层902a实质上不含碳。一旦上述反应位置完全或几乎完全耗尽,原子层902a的成长会自动终结。原子层902a的厚度可以是数个埃(angstrom)。例如,原子层902a的厚度在约至约的范围。上述第一含硅前体气体的多出来的部分在上述反应位置耗尽后,不会与原子层902a的表面发生化学键结。在一些实施例中,然后经由引入例如氩气等的一冲洗用气体(purge gas),将上述第一含硅前体气体及/或反应副产物从工艺室702移除。
在一些实施例中,方法300继续进行操作304,在操作304中,将一或多种第一修饰反应介质引至在操作302形成的原子层上。例如,将上述一或多种第一修饰反应介质引至在图9A所示的原子层902a上。在一些实施例中,引入上述一或多种第一修饰反应介质,包括:引入一含氧介质、引入一含氮介质及引入一含碳介质。在多个修饰操作之后,将原子层902a修饰为包括可以与后续引入的前体气体反应的反应位置,而得以随后在原子层902a上直接形成一原子层。
在一些实施例中,将一含氧介质引入工艺室702中,以对上述原子层(例如在图9A所示的原子层902a)进行修饰。上述含氧介质可包括氧气、含氧等离子体、臭氧或其类似者。上述含氧介质可以以一自限制的方式与原子层902a的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含氧介质的修饰之后,将原子层902a修饰为包括一硅-氧(Si-O)键。例如,原子层902a的表面包括一硅-卤素键,且上述硅-卤素键可以与上述含氧介质反应而变成上述硅-氧键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含氧介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含氧介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,未使用上述含氧介质。
在一些实施例中,然后将一含氮介质引入工艺室702中,以对原子层902a进行修饰。上述含氮介质可包括氮气、含氮等离子体或其类似者。上述含氮介质可以以一自限制的方式与被氧修饰后的原子层902a的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含氮介质的修饰之后,将原子层902a修饰为包括一硅-氮(Si-N)键。例如,原子层902a的表面包括一硅-卤素键,且上述硅-卤素键可以与上述含氧介质反应而变成上述硅-氧键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含氮介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含氮介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,未使用上述含氮介质。
在一些实施例中,然后将一含碳介质引入工艺室702中,以对原子层902a进行修饰。上述含碳介质可包括甲烷、丙烷、乙烷或其类似者。上述含碳介质可以以一自限制的方式与被氮修饰后的原子层902a的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含碳介质的修饰之后,将原子层902a修饰为包括一氮-碳(N-C)键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含碳介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含碳介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。可以改变上述含氧介质、上述含氮介质与上述含碳介质的引入顺序。在一些其他的实施例中,未使用上述含碳介质。在一些其他的实施例中,未使用修饰反应介质。
在一些实施例中,方法300继续进行操作306,在操作306中,将一第二含硅前体气体引至栅极堆叠107的侧壁上。在一些实施例中,也将上述第二含硅前体气体施加在栅极堆叠107的顶表面上、鳍状物结构102上及/或隔离构件103的顶表面上。在一些实施例中,上述第二含硅前体气体包括一硅-卤素键(例如一硅-氯键或一硅-溴键)、一硅-氮键、一硅-氢键或上述的组合。例如,上述第二含硅前体气体可以是或可包含氯化硅、溴化硅、碘化硅、硅烷、含硅烷的胺基、一或多种其他适当或类似的化合物或上述的组合。在一些其他的实施例中,上述第二含硅前体气体还包括一硅-碳键。
在一些实施例中,上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体具有的碳的原子浓度不同。在一些实施例中,上述第二含硅前体气体具有的碳的原子浓度大于上述第一含硅前体气体具有的碳的原子浓度。在一些实施例中,上述第一含硅前体气体实质上不含碳,而上述第二含硅前体气体包括一硅-碳键。
可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,上述第一含硅前体气体具有的碳的原子浓度大于上述第二含硅前体气体具有的碳的原子浓度。在一些其他的实施例中,上述第二含硅前体气体实质上不含碳,而上述第一含硅前体气体包括一硅-碳键。
在操作306中,上述第二含硅前体气体可以以一自限制的方式一次与经修饰的原子层902a的表面反应,因此一旦经修饰的原子层902a的表面上的反应位置耗尽,则反应结束。来自上述第二含硅前体气体的含硅物种可能会被吸收到经修饰的原子层902a的表面上。
在一些实施例中,来自上述第二含硅前体气体的含硅物种被吸收至原子层902a的表面上,以形成一原子层902b。在一些实施例中,上述第二含硅前体气体包括一硅-碳键。因此,根据一些实施例,原子层902b含碳。在一些实施例中,原子层902b包括一硅-碳键。一旦上述反应位置完全或几乎完全耗尽,原子层902b的成长会自动终结。原子层902b的厚度可以是数个埃(angstrom)。例如,原子层902b的厚度在约至约的范围。上述第二含硅前体气体的多出来的部分在上述反应位置耗尽后,不会与原子层902b的表面发生化学键结。在一些实施例中,然后将上述第一含硅前体气体及/或反应副产物从工艺室702移除。上述第一含硅前体气体及/或反应副产物,可经由引入例如氩气等的一冲洗用气体(purgegas)而移除。
在一些实施例中,方法300继续进行操作308,在操作308中,将一或多种第二修饰反应介质引至在操作306形成的原子层902b上。在一些实施例中,引入上述一或多种第二修饰反应介质,包括:引入一含氧介质、引入一含氮介质及引入一含碳介质。在多个修饰操作之后,将原子层902b修饰为包括可以与后续引入的前体气体反应的反应位置,而得以随后在原子层902b上直接形成一原子层。
在一些实施例中,将一含氧介质引入工艺室702中,以对操作306形成的原子层进行修饰。上述含氧介质可包括氧气、含氧等离子体、臭氧或其类似者。上述含氧介质可以以一自限制的方式与原子层902b的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含氧介质的修饰之后,将原子层902b修饰为包括一硅-氧键。例如,原子层902b的表面包括一硅-卤素键,且从上述第二含硅前体气体提供的上述硅-卤素键可以与上述含氧介质反应而变成上述硅-氧键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含氧介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含氧介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。在一些实施例中,未使用上述含氧介质。
在一些实施例中,然后将一含氮介质引入工艺室702中,以对原子层902b进行修饰。上述含氮介质可包括氮气、含氮等离子体或其类似者。上述含氮介质可以以一自限制的方式与被氧修饰后的原子层902b的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含氮介质的修饰之后,将原子层902b修饰为包括一硅-氮(Si-N)键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含氮介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含氮介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,未使用上述含氮介质。
在一些实施例中,然后将一含碳介质引入工艺室702中,以对原子层902b进行修饰。上述含碳介质可包括甲烷、丙烷、乙烷或其类似者。上述含碳介质可以以一自限制的方式与被氮修饰后的原子层902b的表面反应,因此一旦上述反应位置耗尽则反应终结。在上述含碳介质的修饰之后,将原子层902b修饰为包括一氮-碳键。在一旦上述反应位置耗尽而反应终结之后,根据一些实施例,将上述含碳介质的多余部分及/或反应副产物从工艺室702移除。可经由引入例如氩气等的一冲洗用气体,将上述含碳介质及/或反应副产物从工艺室702移除。
可以对本发明实施例进行许多变化及/或修饰。可以改变上述含氧介质、上述含氮介质与上述含碳介质的引入顺序。在一些其他的实施例中,未使用上述含碳介质。在一些其他的实施例中,未使用修饰反应介质。
在一些实施例中,方法300继续进行操作310,在操作310中,将从操作302至操作308的沉积循环重复二或更多次,以形成更多的原子层。在一些实施例中,如图9C所示,形成原子层902a’、902b’、902a”与902b”。在一些实施例中,原子层902a’与902a”使用上述第一含硅前体气体而形成,而原子层902b’与902b”使用上述第二含硅前体气体而形成。可将上述沉积循环重复更多次,以形成更多的原子层。其结果,如图9C与图2B所示,这些原子层一起形成具有一所需的厚度的密封层108。密封层108的厚度可以在约2nm至约20nm的范围。在一些实施例中,密封层108包含硅、氧、碳与氮。在一些实施例中,密封层108是一SiOCN膜。
在一些实施例中,上述第二含硅前体气体具有的碳的原子浓度大于上述第一含硅前体气体具有的碳的原子浓度。因此,使用上述第二含硅前体气体而形成的上述原子层(例如原子层902b、902b’与902b”)具有的碳的原子浓度大于使用上述第一含硅前体气体而形成的上述原子层(例如原子层902a、902a’与902a”)具有的碳的原子浓度。由于碳的原子浓度较大,原子层902b、902b’与902b”所具有的介电常数可低于原子层902a、902a’与902a”所具有的介电常数。上述第二含硅前体气体可使所形成的密封层108包括一硅-碳键并具有低介电常数。密封层108的介电常数可以在约1.5至约3.5的范围。
然而,在一些情况中,若使用上述第二含硅前体气体而未使用上述第一含硅前体气体来形成一密封层,所获得的密封层可能会容易被后续的一含水退火工艺所氧化及/或被一氧环境的蚀刻制成所损坏。水分可能会嵌入所得到的密封层,而可能会导致所得到的密封层的高介电常数。
在一些实施例中,上述第一含硅前体气体具有的碳的原子浓度低于上述第二含硅前体气体具有的碳的原子浓度。在一些实施例中,上述第一含硅前体气体实质上不含碳。因此,使用上述第一含硅前体气体而形成的原子层(例如原子层902a、902a’与902a”)所具有的介电常数大于使用上述第二含硅前体气体而形成的原子层(例如原子层902b、902b’与902b”)所具有的介电常数。虽然原子层902a、902a’与902a”所具有的介电常数大于原子层902b、902b’与902b”所具有的介电常数,但是与原子层902b、902b’与902b”相比,具有较低的碳的原子浓度(或实质上不含碳)的原子层902a、902a’与902a”具有较佳的抗氧化性。原子层902a、902a’与902a”可以用来避免或减少原子层902b的氧化。因水分嵌入密封层108而增加整体的介电常数的情况,可加以避免。上述第一含硅前体气体可使所形成的密封层108对后续的含氧蚀刻工艺及/或含水退火工艺具有高抵抗力。因此,原子层902a、902a’与902a”以及原子层902b、902b’与902b”的组合可以使密封层108具有低介电常数与承受后续工艺的高氧化抵抗能力。避免密封层108的介电常数在后续的工艺之后减少。
如图2C所示,根据一些实施例,在鳍状物结构102中,形成多个淡掺杂源极与漏极(lightly doped source and drain;LDS/D)区110。淡掺杂源极与漏极区110形成在栅极堆叠107的相反侧上。在一些实施例中,使用一离子注入工艺202来形成淡掺杂源极与漏极区110。密封层108可帮助决定所形成的淡掺杂源极与漏极区110的边缘。在一些实施例中,以一倾斜的角度来施行离子注入工艺202,而使所形成的淡掺杂源极与漏极区110延伸到栅极堆叠107的底部。
如图2D所示,根据一些实施例,在密封层108的上方沉积一间隔物层112。间隔物层112也沿着栅极堆叠107的侧壁及顶表面延伸。在一些实施例中,间隔物层112比密封层108还厚。在一些实施例中,以相同的材料来形成间隔物层112与密封层108。在一些其他的实施例中,以不同的材料来形成间隔物层112与密封层108。
在一些实施例中,间隔物层112以一介电材料形成。上述介电材料可包括氧碳氮化硅(silicon oxycarbonitride)、碳化硅、氧氮化硅(silicon oxynitride)、氮化硅、氧化硅、一或多种其他适当的材料或上述的组合。可使用一化学气相沉积(chemical vapordeposition;CVD)工艺来沉积间隔物层112。在一些实施例中,使用一原子层沉积工艺来沉积间隔物层112。在后续的工艺中,间隔物层112可能会遭受一含氧的蚀刻工艺及/或一含水的退火工艺。在一些实施例中,将密封层108形成为具有强抗氧化力的端基配位基。
在一些实施例中,依序且交替使用二或多种的前体气体,以形成间隔物层112。上述前体气体中的一个可对间隔物层112提供强抗氧化力的端基配位基。另一个前体气体可对间隔物层112提供一含碳键结(例如一硅-碳键),而可造成低介电常数。因此,间隔物层112可避免其被氧化而仍具有一相对低的介电常数。例如,间隔物层112具有的介电常数低于二氧化硅的介电常数。
在一些实施例中,使用与用以形成密封层108者类似或相同的一原子层沉积工艺来形成间隔物层112。在一些实施例中,交互且依序将一第三含硅前体气体与一第四含硅前体气体引入相同的工艺室(例如:工艺室702)中,以形成间隔物层112。于图3示出的方法300可用来形成间隔物层112。在一些实施例中,上述第一含硅前体气体与上述第三含硅前体气体具有相同的成分。在一些实施例中,上述第二含硅前体气体与上述第四含硅前体气体具有相同的成分。在一些实施例中,间隔物层112比密封层108还厚。间隔物层112的厚度可以在约4nm至约40nm的范围,密封层108的厚度可以在约2nm至约20nm的范围。在一些实施例中,将从操作302至操作308的沉积循环重复数次,以形成数个原子层。其结果,这些原子层一起形成具有所需的厚度的间隔物层112。
如图2E所示,根据一些实施例,将间隔物层112与密封层108局部移除,以形成多个密封元件108’与多个间隔物元件112’。在一些实施例中,使用一非各向同性蚀刻以将间隔物层112与密封层108局部移除。其结果,间隔物层112与密封层108的留下来的部分形成了间隔物元件112’与密封元件108’。在一些实施例中,每个间隔物元件112’或间隔物元件112’中的一个沿着从栅极堆叠107的底部至栅极堆叠107的顶部的方向逐渐缩小。在一些实施例中,每个密封元件108’或密封元件108’中的一个具有一L形的轮廓。在形成间隔物元件112’与密封元件108’之后,曝露出包括淡掺杂源极与漏极区110的部分的鳍状物结构102的部分,如图2E所示。
如图2F所示,根据一些实施例,局部性地移除鳍状物结构102,以形成一凹部。在一些实施例中,使鳍状物结构102的一部分下凹而低于图1所示的隔离构件103的顶表面。在一些实施例中,施行一蚀刻工艺以移除鳍状物结构102的一上部。其结果,形成上述凹部。在一些其他的实施例中,使用数个蚀刻操作而使上述凹部进一步水平延伸至栅极堆叠107下方的通道区。在上述蚀刻工艺的过程中,也可能局部性地移除淡掺杂源极与漏极区110。如图2F所示,淡掺杂源极与漏极区110的留下来的部分位于上述凹部的侧壁与栅极堆叠107的下方的通道区之间。
然后,如根据一些实施例的图2F所示,形成多个源极/漏极结构114,以填充或过度填充上述凹部。在一些实施例中,源极/漏极结构114从图1所示的隔离构件103的顶表面突出。在一些实施例中,在被下凹的鳍状物结构102的上方,外延成长一半导体材料(或二个或更多的半导体材料),以填充或过度填充上述凹部,并持续成长而越过上述凹部,以形成源极/漏极结构114。
在一些实施例中,源极/漏极结构114以一p型的半导体材料形成。例如,源极/漏极结构114可包括外延成长的硅锗(silicon germanium)。源极/漏极结构114并不限于以一p型的半导体材料形成。在一些实施例中,源极/漏极结构114以一n型的半导体材料形成。源极/漏极结构114可包括外延成长的硅、外延成长的碳化硅(SiC)、外延成长的磷化硅(SiP)或其他适当的经外延成长的半导体材料。
在一些实施例中,使用一选择性外延成长(selective epitaxy growth;SEG)工艺、一化学气相沉积工艺(例如:一气相外延(vapor-phase epitaxy;VPE)工艺、一低压化学气相沉积(low pressure chemical vapor deposition;LPCVD)工艺及/或一超高真空化学气相沉积工艺(ultra-high vacuum chemical vapor deposition;UHV-CVD)工艺)、一分子束外延工艺、一或多道其他可应用的工艺或上述的组合,来形成源极/漏极结构114。源极/漏极结构114的形成工艺可使用气态及/或液态前体。
在一些实施例中,源极/漏极结构114包括掺杂物。在一些实施例中,源极/漏极结构114在源极/漏极结构114的成长过程中被就地(in-situ)掺杂。在一些其他的实施例中,源极/漏极结构114并未在源极/漏极结构114的成长过程中被掺杂,而在外延成长之后,在后续的工艺对源极/漏极结构114作掺杂。在一些实施例中,使用一离子注入工艺、一气体源或固体源的扩散工艺、一或多道其他可应用的工艺或上述之组合来达成上述掺杂。在一些实施例中,将源极/漏极结构114进一步暴露在一或多道退火工艺,以将掺杂物活化。例如,使用一快速热退火工艺。
如图2G所示,根据一些实施例,在鳍状物结构102、源极/漏极结构114与隔离构件103(示于图1)的上方,形成一介电层116以围绕栅极堆叠107。在一些实施例中,介电层116以氧化硅、氧氮化硅、硼硅玻璃(borosilicate glass;BSG)、磷硅玻璃(phosphoricsilicate glass;PSG)、硼磷硅玻璃(borophosphosilicate glass;BPSG)、掺氟的硅玻璃(fluorinated silicate glass;FSG)、低介电常数材料、多孔介电材料、一或多种其他其他适当的介电材料或上述的组合形成,或包括氧化硅、氧氮化硅、硼硅玻璃、磷硅玻璃、硼磷硅玻璃、掺氟的硅玻璃、低介电常数材料、多孔介电材料、一或多种其他其他适当的介电材料或上述的组合。在一些实施例中,使用一化学气相沉积工艺、一原子层沉积工艺、一物理气相沉积工艺、一旋转涂布工艺、一或多道其他可应用的工艺或上述的组合,来沉积介电层116。
在一些实施例中,使用一可流动化学气相沉积(flowable chemical vapordeposition;FCVD)来形成介电层116。在一些实施例中,介电层116以可流动的二氧化硅(SiO2)形成。在上述可流动化学气相沉积工艺中,一含硅前体(例如:一有机硅烷)可以与一含氧前体(例如:氧、臭氧及氮的氧化物中的一或多个)反应,以形成介电层116。介电层116可具有实质上高浓度的硅-氢氧(Si-OH)键。上述键结可提升及/或优化介电层116的氧化硅材料的可流动性(或移动性)。因此,上述氧化硅材料可以快速地移动而进入半导体基底100上及/或已经置于半导体基底100上的元件上的间隙及/或沟槽中。
在一些实施例中,介电层116的形成包括一熟化(curing)工艺。上述熟化工艺可包括将上述可流动的介电材料暴露于例如去离子水及/或臭氧(O3)的一含氧介质。密封元件108’及/或间隔物元件112’对于上述含氧介质可具有高耐受性(或高抵抗力),避免水嵌入密封元件108’及/或间隔物元件112’中。密封元件108’及/或间隔物元件112’可以仍然具有低介电常数。
在上述熟化工艺中,臭氧的流速可以在约100sccm(每分钟标准立方公分;standard cubic centimeters per minute)至约5000sccm的范围,工艺温度可以在约摄氏10度至约摄氏500度的范围,工艺压力可以在约1托(torrs)至约760托的范围。可以对本发明实施例进行许多变化及/或修饰。在一些实施例中,臭氧的流速可以在约1000sccm至约3000sccm的范围。在一些实施例中,上述工艺温度可以在约摄氏50度至约摄氏300度的范围。在一些实施例中,上述工艺压力可以在约50托至约500托的范围。上述熟化工艺可以转换上述可流动的介电材料中的硅-氧键网路(Si-O bond networks)。其结果,可以增加上述可流动的介电材料的密度。
在一些实施例中,介电层116的形成包括一退火工艺。上述退火工艺可包括一蒸气退火工艺(steam annealing process)、一干式退火工艺(dry annealing process)、一等离子体退火工艺(plasma annealing process)、一紫外线(UV)退火工艺(ultravioletannealing process)、一电子束退火工艺(electron beam annealing process)、一微波退火工艺(microwave annealing process)、一或多道其他可应用的工艺或上述的组合。
在一些实施例中,将有机硅烷或其类似者作为在形成介电层116的工艺中的一来源气体使用,而使来自上述有机硅烷的大量的碳被引至介电层116而形成例如硅-碳(Si-C)键及/或硅-氧-碳(Si-O-C)键。例如,上述有机硅烷包括四乙氧基硅烷(tetraethoxysilane)、四甲基二硅氧烷(tetramethyldisiloxane)或其他适当的含碳硅烷。上述退火工艺可包括一蒸气退火工艺,用以在介电层116中将一些硅-碳键取代为硅-氢氧键。在上述蒸气退火工艺中,水蒸气的流速可以在5sccm至20sccm的范围,工艺温度可以在摄氏400度至摄氏600度的范围。接下来,可以在一不含水的气氛中(例如:在一干氮的气氛中),对介电层116施行一干式退火工艺,以将上述硅-氢氧键转换为硅-氧-硅键并从介电层116移除水分。
然后,如根据一些实施例的图2G所示,将介电层116薄化,直到暴露出栅极堆叠107。在一些实施例中,使用一平坦化工艺将介电层116薄化。上述平坦化工艺可包括一化学机械抛光(chemical mechanical polishing;CMP)工艺、一研磨(grinding)工艺、一蚀刻工艺、一干式抛光工艺、一或多道其他可应用的工艺或上述的组合。
如图2H所示,将栅极堆叠107移除,以形成一沟槽118,如根据一些实施例的图2H所示。沟槽118将鳍状物结构102的原本被栅极堆叠107(如图2G所示)覆盖的部分暴露出来。沟槽118也可暴露部分的隔离构件103。在一些实施例中,沟槽118被密封元件108’、间隔物元件112’与介电层116所围绕。在一些实施例中,使用一干式蚀刻工艺、一湿式蚀刻工艺、一或多道其他可应用的工艺或上述的组合来移除栅极堆叠107。在一些实施例中,使用含氧等离子体来移除栅极堆叠107。密封元件108’可对于上述含氧等离子体具有高耐受性(或高抵抗力)。
如图2I所示,根据一些实施例,在沟槽118中形成一金属栅极堆叠130。在一些实施例中,形成多个金属栅极堆叠层,以过度地填充沟槽118。上述金属栅极堆叠层可包括一栅极介电层、一阻障层、一功函数层、一阻挡层及/或一金属填充层。
在一些实施例中,根据一些实施例,在沟槽118的侧壁上及沟槽118的底部的上方,沉积一栅极介电层120。在一些实施例中,栅极介电层120以一高介电常数介电层形成或包括一高介电常数介电层。上述高介电常数介电层以氧化铪、氧化锆、氧化铝、二氧化铪-氧化铝合金(hafnium dioxide-alumina alloy)、氧化铪硅(hafnium silicon oxide)、氮氧化铪硅(hafnium silicon oxynitride)、氧化铪钽(hafnium tantalum oxide)、氧化铪钛(hafnium titanium oxide)、氧化铪锆(hafnium zirconium oxide)、一或多种其他适当的高介电常数材料或上述的组合形成。在一些实施例中,使用一原子层沉积工艺、一化学气相沉积工艺、一旋转涂布工艺、一或多道其他可应用的工艺或上述的组合,来沉积栅极介电层120。在一些实施例中,使用一高温退火操作,以减少或消除栅极介电层120中的缺陷。
在一些实施例中,在形成栅极介电层120之前,在沟槽118中形成一牺牲层(未示出)。上述牺牲层可用来减少栅极介电层120与鳍状物结构102之间的应力。在一些实施例中,上述牺牲层以氧化硅形成或包括氧化硅。在一些实施例中,使用一原子层沉积工艺、一热氧化工艺、一或多道其他可应用的工艺或上述的组合,来形成上述牺牲层。
然后,根据一些实施例,在栅极介电层120的上方形成一阻障层122。阻障层122可用来作为栅极介电层120与后续形成的功函数层的界面。阻障层122也可用来避免栅极介电层120与后续形成的功函数层之间的扩散。
在一些实施例中,阻障层122以一含金属材料形成或包括一含金属材料。上述含金属材料可包括氮化钛、氮化钽、一或多种其他适当的材料或上述的组合。在一些实施例中,阻障层122包括多个子层(sub-layers)。上述子层可以以不同材料形成。或者,上述子层可以以相同材料形成。在一些实施例中,使用一原子层沉积工艺、一化学气相沉积工艺、一物理气相沉积工艺、一电镀工艺、一化学式沉积(electroless plating)工艺、一或多道其他可应用的工艺或上述的组合,来沉积阻障层122。在一些其他的实施例中,未形成阻障层122。
然后,根据一些实施例,在阻障层122的上方形成一功函数层124。功函数层124是用来为晶体管提供所需的功函数,以强化包括临界电压的装置效能。在形成一N型金属-氧化物-半导体(n-type metal oxide semiconductor;NMOS)晶体管的实施例中,上述功函数层可以是一n型金属层。上述n型金属层能够提供适用于此装置的一功函数值,该功函数值例如小于或等于约4.5eV。上述n型金属层可包括金属、金属碳化物、金属氮化物、其他适当的材料或上述的组合。例如,上述n型金属层以氮化钛、钽、氮化钽、一或多种其他的适当的材料或上述的组合形成,或包括氮化钛、钽、氮化钽、一或多种其他的适当的材料或上述的组合。
另一方面,在形成一P型金属-氧化物-半导体(p-type metal oxidesemiconductor;PMOS)晶体管的实施例中,上述功函数层可以是一p型金属层。上述p型金属层能够提供适用于此装置的一功函数值,例如该功函数值大于或等于约4.8eV。上述p型金属层可包括金属、金属碳化物、金属氮化物、其他适当的材料或上述的组合。例如,上述p型金属层以氮化钛、钽、氮化钽、一或多种其他的适当的材料或上述的组合形成,或包括氮化钽、氮化钨、钛、氮化钛、一或多种其他的适当的材料或上述的组合。
上述功函数层也可以以铪、锆、钛、钽、铝、金属碳化物(例如:碳化铪、碳化锆、碳化钛、碳化铝)、铝化物、钌、钯、铂、钴、镍、导体的金属氧化物或上述的组合形成,或可包括铪、锆、钛、钽、铝、金属碳化物(例如:碳化铪、碳化锆、碳化钛、碳化铝)、铝化物、钌、钯、铂、钴、镍、导体的金属氧化物或上述的组合。可以微调上述功函数层的厚度及/或成分,以调整功函数等级。例如,一氮化钛层可以用来作为一p型金属层或一n型金属层,视上述氮化钛层的厚度及/或成分而定。
然后,根据一些实施例,在功函数层124的上方形成一阻挡层126。阻挡层126可以用来避免一后续形成的金属填充层扩散或穿透而进入上述功函数层。在一些实施例中,阻挡层126以氮化钽、氮化钛、一或多种适当的材料或上述的组合形成,或包括氮化钽、氮化钛、一或多种适当的材料或上述的组合。在一些实施例中,使用一原子层沉积工艺、一化学气相沉积工艺、一物理气相沉积工艺、一电镀工艺、一化学式沉积(electroless plating)工艺、一或多道其他可应用的工艺或上述的组合,来沉积阻挡层126。本发明实施例并不限于此。在一些其他的实施例中,未形成阻挡层126。
然后,根据一些实施例,在阻挡层126的上方形成一金属填充层128,以填充沟槽118。在一些实施例中,金属填充层128以钨、铝、铜、钴、一或多种适当的材料或上述的组合形成,或包括钨、铝、铜、钴、一或多种适当的材料或上述的组合。在一些实施例中,使用一化学气相沉积工艺、一物理气相沉积工艺、一电镀工艺、一化学式沉积(electrolessplating)工艺、一或多道其他可应用的工艺或上述的组合,来沉积金属填充层128。可以对本发明实施例进行许多变化及/或修饰。在一些其他的实施例中,未形成金属填充层128。
在一些实施例中,这些金属栅极堆叠层过度地填充沟槽118并覆盖介电层116。在一些实施例中,将这些金属栅极堆叠在沟槽118外侧的部分移除。其结果,在沟槽118中形成一金属栅极堆叠130。在一些实施例中,使用一平坦化工艺来将这些金属栅极堆叠在沟槽118外侧的部分移除,直到暴露出介电层116。上述平坦化工艺可包括一化学机械抛光工艺、一研磨工艺、一干式抛光工艺、一蚀刻工艺、一或多道其他可应用的工艺或上述的组合。
图4是根据一些实施例的一密封元件108’与一间隔物元件112’的在金属栅极堆叠130附近的一部分的剖面图。在一些实施例中,图4是图2I所示结构的一放大的剖面图。密封元件108’可具有在约2nm至约20nm的范围的厚度。间隔物元件112’可具有在约4nm至约40nm的范围的厚度。在一些实施例中,方法300用来形成密封元件108’及/或间隔物元件112’。在这些情况中,密封元件108’及/或间隔物元件112’可具有均匀的碳的原子浓度。
在一些实施例中,密封元件108’具有经由交互且依序使用上述第一含硅前体气体与上述第二含硅前体气体而形成的多个原子层。如前所述,使用上述第一含硅前体气体或上述第二含硅前体气体而形成的每个原子层可具有从约至约的范围内的厚度。在一些实施例中,间隔物元件112’所具有的结构类似于密封元件108’的结构。沉积循环可重复更多次,以形成厚度大于密封元件108’的厚度的间隔物元件112’。
图5是根据一些实施例的一密封元件108’与一间隔物元件112’的在金属栅极堆叠130附近的一部分的剖面图。在一些实施例中,密封元件108’具有一第一部分502a与一第二部分502b。在一些实施例中,第一部分502a在第二部分502b与金属栅极堆叠130之间。在一些实施例中,间隔物元件112’具有一第一部分504a与一第二部分504b。在一些实施例中,第一部分504a在第二部分504b与金属栅极堆叠130之间。
在一些实施例中,密封元件108’的第一部分502a或间隔物元件112’的第一部分504a的形成,包括重复引入上述第一含硅前体气体。在一些实施例中,在引入上述第一含硅前体气体与再一次引入上述第一含硅前体气体之间,引入上述修饰反应介质。密封元件108’的第一部分502a或间隔物元件112’的第一部分504a包括使用上述第一含硅前体气体而形成的一叠的多个原子层。在一些实施例中,无法侦测到这些原子层之间的界面。第8A与8B图是根据一些实施例的使用一原子层沉积工艺而用以形成一密封层或一间隔物层的方法的流程图。在一些实施例中,如图8A所示,将操作302与304重复数次,直到完成了所需厚度的第一部分502a或502b的形成。
在一些实施例中,密封元件108’的第二部分502b或间隔物元件112’的第二部分504b的形成,包括重复引入上述第二含硅前体气体。在一些实施例中,在引入上述第二含硅前体气体与再一次引入上述第二含硅前体气体之间,引入上述修饰反应介质。密封元件108’的第二部分502b或间隔物元件112’的第二部分504b包括使用上述第二含硅前体气体而形成的一叠的多个原子层。在一些实施例中,无法侦测到这些原子层之间的界面。在一些实施例中,如图8B所示,将操作306与308重复数次,直到完成了所需厚度的第二部分502b或504b的形成。
在一些实施例中,第二部分502b所具有的碳的原子浓度不同于第一部分502a的碳的原子浓度。在一些实施例中,第二部分504b所具有的碳的原子浓度不同于第一部分504a的碳的原子浓度。在一些实施例中,第二部分502b所具有的碳的原子浓度大于第一部分502a的碳的原子浓度。在一些实施例中,第二部分504b所具有的碳的原子浓度大于第一部分504a的碳的原子浓度。在一些实施例中,使用实质上不含碳的上述第一含硅前体气体来形成第一部分502a与504a。在一些实施例中,第一部分502a与504a也实质上不含碳。
在一些其他的实施例中,在引入上述第一含硅前体气体与再一次引入上述第一含硅前体气体之间引入的上述修饰反应介质,包括碳。在这些情况中,第一部分502a与504a可能也包括碳。第一部分502a或504a的碳的原子浓度,小于使用包括一硅-碳键的上述第二含硅前体气体而形成的第二部分502b或504b的碳的原子浓度。在一些实施例中,第一部分502a(或504a)中所含的碳对比于第二部分502b(或504b)中所含的碳的比值在约0.5至约0.9的范围。
在一些实施例中,第一部分502a(或504a)包括的原子层使用实质上不含碳的上述第一含硅前体气体所形成。在一些实施例中,第一部分502a(或504a)不包括硅-碳键。第二部分502b(或504b)包括的原子层使用包括一硅-碳键的上述第二含硅前体气体所形成。第二部分502b(或504b)所具有的碳的原子浓度大于第一部分502a(或504a)的碳的原子浓度。由于较高的碳含量,第二部分502b(或504b)可对密封元件108’(或间隔物元件112’)的一较低的介电常数有贡献。相对于第二部分502b(或504b),不包括硅-碳键的第一部分502a(或504a)可具有较佳的抗氧化能力。因此,第一部分502a(或504a)可保护第二部分502b(或504b),避免其在例如用于形成介电层116的含水的退火工艺(在图2G中)与用于移除栅极堆叠107(在图2H中)的含氧的等离子体处理等的后续工艺的过程中被氧化或受损。
图6是根据一些实施例的一半导体装置结构的一密封元件的一部分的剖面图。在一些实施例中,密封元件108’包括一叠的多个第一部分602a与多个第二部分602b。在一些实施例中,每个第一部分602a与如图5所示的第一部分502a具有相似或相同的成分。在一些实施例中,每个第二部分602b与如图5所示的第二部分502b具有相似或相同的成分。在一些实施例中,可将图8A与图8B示出的方法重复数次,以形成示于图6的结构。在一些实施例中,间隔物元件112’也可具有如图6所示的相似结构。
本发明实施例使用一原子层沉积工艺,在一栅极堆叠的侧壁上形成一密封元件及/或一间隔物元件。交替及依序引入一第一含硅前体气体与一第二含硅前体气体,以形成用以形成上述密封元件或上述间隔物元件的一材料层。上述第二含硅前体气体所具有的碳的原子浓度,可大于上述第一含硅前体气体的碳的原子浓度。上述第二含硅前体气体可使上述材料层具有一低介电常数。上述第一含硅前体气体可使上述材料层对后续的含氧蚀刻工艺及/或含水退火工艺具有高耐受性(或高抵抗能力)。例如,上述第二含硅前体气体包括一硅-碳键,而上述第一含硅前体气体则未包括任何硅-碳键。上述第一含硅前体气体可以用来对上述密封层及/或上述间隔物层提供强抗氧化力的端基配位基。上述第二含硅前体气体可以用来对上述密封层及/或上述间隔物层提供一含碳键结(例如一硅-碳键),其可造成一低介电常数。因此,获得了具有一低介电常数与承受后续工艺的优良抗氧化能力的一密封元件及/或一间隔物元件。改善了工艺可行性与工艺窗口(process window)。
关于一些实施例,提供一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:交互并依序将一第一含硅前体气体与一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层。上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体的碳的原子浓度不同。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。在一实施例中,上述第二含硅前体气体具有的碳的原子浓度大于上述第一含硅前体气体的碳的原子浓度。在一实施例中,上述半导体装置结构的形成方法还包括:在引入上述第一含硅前体气体之后且在引入上述第二含硅前体气体之前,引入至少一个第一修饰反应介质(modifying reactive media);以及在引入上述第二含硅前体气体之后且在再一次引入上述第一含硅前体气体之前,引入至少一个第二修饰反应介质。在一实施例中,引入上述至少一个第一修饰反应介质包括:引入一含氧介质;引入一含氮介质;以及引入一含碳介质。在一实施例中,引入上述至少一个第二修饰反应介质包括:引入一含氧介质;引入一含氮介质;以及引入一含碳介质。在一实施例中,上述第一含硅前体气体包括一硅-卤素键、一硅-氮键、一硅-氢键或上述的组合。在一实施例中,上述第二含硅前体气体包括一硅-碳键。在一实施例中,上述第二含硅前体气体还包括一硅-卤素键、一硅-氮键、一硅-氢键或上述的组合。在一实施例中,上述半导体装置结构的形成方法还包括:在上述密封层之下形成一淡掺杂区;在上述密封层的上方形成一间隔物层;以及局部移除上述间隔物层,以在上述密封元件的上方形成一间隔物元件。在一实施例中,使用一第二原子层沉积工艺来形成上述间隔物层,其中上述第二原子层沉积工艺包括:交互并依序将一第三含硅前体气体与一第四含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述间隔物层,其中上述第四含硅前体气体具有的碳的原子浓度与上述第三含硅前体气体的碳的原子浓度不同。在一实施例中,上述第一含硅前体气体与上述第三含硅前体气体具有相同的成分,上述第二含硅前体气体与上述第四含硅前体气体具有相同的成分。
关于一些实施例,提供一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:重复地将一第一含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层的一第一部分;以及重复地将一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以在上述密封层的上述第一部分的上方形成上述密封层的一第二部分。上述第二含硅前体气体具有的碳的原子浓度与上述第一含硅前体气体的碳的原子浓度不同。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。在一实施例中,上述半导体装置结构的形成方法还包括:在引入上述第一含硅前体气体之后且在再一次引入上述第一含硅前体气体之前,引入至少一个第一修饰反应介质;以及在引入上述第二含硅前体气体之后且在再一次引入上述第二含硅前体气体之前,引入至少一个第二修饰反应介质。在一实施例中,引入上述至少一个第一修饰反应介质包括:引入一含氧介质;引入一含氮介质;以及引入一含碳介质。在一实施例中,引入上述至少一个第二修饰反应介质包括:引入一含氧介质;引入一含氮介质;以及引入一含碳介质。在一实施例中,使用一第二原子层沉积工艺以在上述密封层的上方形成一间隔物层,其中上述第二原子层沉积工艺包括:重复将一第三含硅前体气体引至上述栅极堆叠的上述侧壁上,以在上述密封层的上述第二部分的上方形成上述密封层的一第三部分;以及重复将一第四含硅前体气体引至上述栅极堆叠的上述侧壁上,以在上述密封层的上述第三部分的上方形成上述密封层的一第四部分,其中上述第四含硅前体气体具有的碳的原子浓度与上述第三含硅前体气体的碳的原子浓度不同。在一实施例中,上述第三含硅前体气体与上述第一含硅前体气体具有相同的成分。在一实施例中,上述半导体装置结构的形成方法还包括:交互并依序将一第三含硅前体气体与一第四含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述间隔物层,其中上述第四含硅前体气体具有的碳的原子浓度与上述第三含硅前体气体的碳的原子浓度不同。
关于一些实施例,提供一种半导体装置结构的形成方法。上述方法包括:在一半导体基底的上方,形成一栅极堆叠。上述方法也包括:使用一原子层沉积工艺,在上述栅极堆叠的一侧壁上,形成一密封层。上述原子层沉积工艺包括:交互并依序将一第一含硅前体气体与一第二含硅前体气体引至上述栅极堆叠的上述侧壁上,以形成上述密封层。上述第二含硅前体气体包括一硅-碳键,而上述第一含硅前体气体不包括任何硅-碳键。上述方法还包括:局部移除上述密封层,以在上述栅极堆叠的上述侧壁上形成一密封元件。在一实施例中,上述半导体装置结构的形成方法还包括:在引入上述第一含硅前体气体之后且在引入上述第二含硅前体气体之前,引入至少一个第一修饰反应介质;以及在引入上述第二含硅前体气体之后且在再一次引入上述第一含硅前体气体之前,引入至少一个第二修饰反应介质。
前述内文概述了许多实施例的特征,使所属技术领域中普通技术人员可以从各个方面更佳地了解本发明实施例。所属技术领域中普通技术人应可理解,且可轻易地以本发明实施例为基础来设计或修饰其他工艺及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。所属技术领域中普通技术人也应了解这些均等的结构并未背离本发明实施例的发明精神与范围。在不背离本发明实施例的发明精神与范围的前提下,可对本发明实施例进行各种改变、置换或修改。

Claims (1)

1.一种半导体装置结构的形成方法,包括:
在一半导体基底的上方,形成一栅极堆叠;
使用一原子层沉积工艺,在该栅极堆叠的一侧壁上,形成一密封层,其中该原子层沉积工艺包括:
交互并依序将一第一含硅前体气体与一第二含硅前体气体引至该栅极堆叠的该侧壁上,以形成该密封层,其中该第二含硅前体气体具有的碳的原子浓度与该第一含硅前体气体的碳的原子浓度不同;以及
局部移除该密封层,以在该栅极堆叠的该侧壁上形成一密封元件。
CN201811365851.0A 2017-11-21 2018-11-16 半导体装置结构的形成方法 Pending CN109817526A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762589231P 2017-11-21 2017-11-21
US62/589,231 2017-11-21
US16/157,589 2018-10-11
US16/157,589 US10535512B2 (en) 2017-11-21 2018-10-11 Formation method of semiconductor device with gate spacer

Publications (1)

Publication Number Publication Date
CN109817526A true CN109817526A (zh) 2019-05-28

Family

ID=66534051

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811365851.0A Pending CN109817526A (zh) 2017-11-21 2018-11-16 半导体装置结构的形成方法

Country Status (3)

Country Link
US (4) US10535512B2 (zh)
CN (1) CN109817526A (zh)
TW (1) TW201926439A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10957543B2 (en) 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US11329139B2 (en) 2019-07-17 2022-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with reduced trap defect and method of forming the same
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置
US11757020B2 (en) * 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6512273B1 (en) * 2000-01-28 2003-01-28 Advanced Micro Devices, Inc. Method and structure for improving hot carrier immunity for devices with very shallow junctions
US6812073B2 (en) * 2002-12-10 2004-11-02 Texas Instrument Incorporated Source drain and extension dopant concentration
US7217626B2 (en) * 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7229869B2 (en) * 2005-03-08 2007-06-12 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
US7226831B1 (en) * 2005-12-27 2007-06-05 Intel Corporation Device with scavenging spacer layer
US8193586B2 (en) * 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
KR101815527B1 (ko) * 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US20130299920A1 (en) * 2012-05-08 2013-11-14 Haizhou Yin Semiconductor device and method for manufacturing the same
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9419101B1 (en) * 2015-11-04 2016-08-16 Globalfoundries Inc. Multi-layer spacer used in finFET
US20190067115A1 (en) * 2017-08-23 2019-02-28 Globalfoundries Inc. Gate cut method for replacement metal gate
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer

Also Published As

Publication number Publication date
US11393677B2 (en) 2022-07-19
US20190157075A1 (en) 2019-05-23
TW201926439A (zh) 2019-07-01
US20220328306A1 (en) 2022-10-13
US10535512B2 (en) 2020-01-14
US20200152450A1 (en) 2020-05-14
US11854796B2 (en) 2023-12-26
US20210118677A1 (en) 2021-04-22
US10867785B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
CN109817526A (zh) 半导体装置结构的形成方法
US11302582B2 (en) Pre-deposition treatment for FET technology and devices formed thereby
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
KR102390702B1 (ko) 성막 선택도 향상 및 그 제조 방법
CN106469653B (zh) 半导体装置及其制造方法
US11508583B2 (en) Selective high-k formation in gate-last process
US20220336264A1 (en) Silicon Oxide Layer for Oxidation Resistance and Method Forming Same
CN109326643A (zh) 半导体装置的形成方法
KR102011946B1 (ko) 반도체 장치 및 그 제조 방법
TWI737007B (zh) 積體電路裝置及其形成方法
CN107104144A (zh) 半导体装置及其制造方法
US10872762B2 (en) Methods of forming silicon oxide layer and semiconductor structure
CN109786254B (zh) 后栅极工艺中的选择性高k形成
CN112420611A (zh) 半导体装置的形成方法
TWI811781B (zh) 半導體裝置的形成方法
TW202117813A (zh) 半導體裝置製造方法
CN112563127A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190528

WD01 Invention patent application deemed withdrawn after publication